reform

MNT Reform: Open Source Portable Computer
Log (Feed) | Files | Refs (Tags) | README

commit 744e08f4b1cbc03471aba87a1cef624e5a6b6b32
Author: mntmn <lukas@mnt.mn>
Date:   Sat, 11 Nov 2017 14:26:32 +0100

first snapshot

Diffstat:
A.gitignore | 4++++
Adf40c-100ds-0.pretty/HRS_DF40C-100DS-0.4V(51).kicad_mod | 233+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Areform-display.sch | 201+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Areform-eth-usb.sch | 246+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Areform-motherboard.kicad_pcb | 3465+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Areform-motherboard.net | 1688+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Areform-motherboard.pro | 79+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Areform-motherboard.sch | 1079+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Areform-pcie.sch | 489+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Areform-power.sch | 55+++++++++++++++++++++++++++++++++++++++++++++++++++++++
Areform-sata-sd.sch | 284+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
11 files changed, 7823 insertions(+), 0 deletions(-)

diff --git a/.gitignore b/.gitignore @@ -0,0 +1,4 @@ +*.bak +*-bak +*.pdf +*-cache.lib diff --git a/df40c-100ds-0.pretty/HRS_DF40C-100DS-0.4V(51).kicad_mod b/df40c-100ds-0.pretty/HRS_DF40C-100DS-0.4V(51).kicad_mod @@ -0,0 +1,233 @@ +(module "DF40C-100DS-0.4V_51_:HRS_DF40C-100DS-0.4V(51)" (layer F.Cu) (tedit 0) + (attr smd) + (fp_text reference J3 (at -12.6751 0.53561 90) (layer F.SilkS) + (effects (font (size 0.641451 0.641451) (thickness 0.05))) + ) + (fp_text value TinyRex-J3-DF40C (at 12.5822 0.128296 90) (layer F.SilkS) + (effects (font (size 0.641458 0.641458) (thickness 0.05))) + ) + (fp_line (start -11.3 1.44) (end -11.3 -1.44) (layer F.SilkS) (width 0.127)) + (fp_line (start -11.3 -1.44) (end 11.3 -1.44) (layer F.SilkS) (width 0.127)) + (fp_line (start 11.3 -1.44) (end 11.3 1.44) (layer F.SilkS) (width 0.127)) + (fp_line (start 11.3 1.44) (end -11.3 1.44) (layer F.SilkS) (width 0.127)) + (fp_line (start -10.25 1.75) (end -10.25 2.25) (layer Dwgs.User) (width 0.127)) + (fp_line (start -10.25 2.25) (end 10.25 2.25) (layer Dwgs.User) (width 0.127)) + (fp_line (start 10.25 2.25) (end 10.25 1.75) (layer Dwgs.User) (width 0.127)) + (fp_line (start 10.25 1.75) (end 11.75 1.75) (layer Dwgs.User) (width 0.127)) + (fp_line (start 11.75 1.75) (end 11.75 -1.75) (layer Dwgs.User) (width 0.127)) + (fp_line (start 11.75 -1.75) (end 10.25 -1.75) (layer Dwgs.User) (width 0.127)) + (fp_line (start 10.25 -1.75) (end 10.25 -2.25) (layer Dwgs.User) (width 0.127)) + (fp_line (start 10.25 -2.25) (end -10.25 -2.25) (layer Dwgs.User) (width 0.127)) + (fp_line (start -10.25 -2.25) (end -10.25 -1.75) (layer Dwgs.User) (width 0.127)) + (fp_line (start -10.25 -1.75) (end -11.75 -1.75) (layer Dwgs.User) (width 0.127)) + (fp_line (start -11.75 -1.75) (end -11.75 1.75) (layer Dwgs.User) (width 0.127)) + (fp_line (start -11.75 1.75) (end -10.25 1.75) (layer Dwgs.User) (width 0.127)) + (fp_line (start -10.08 1.44) (end -11.3 1.44) (layer Dwgs.User) (width 0.127)) + (fp_line (start -11.3 1.44) (end -11.3 -1.44) (layer Dwgs.User) (width 0.127)) + (fp_line (start -11.3 -1.44) (end -10.08 -1.44) (layer Dwgs.User) (width 0.127)) + (fp_line (start 10.08 -1.44) (end 11.3 -1.44) (layer Dwgs.User) (width 0.127)) + (fp_line (start 11.3 -1.44) (end 11.3 1.44) (layer Dwgs.User) (width 0.127)) + (fp_line (start 11.3 1.44) (end 10.08 1.44) (layer Dwgs.User) (width 0.127)) + (fp_line (start -10.16 1.9053) (end -10.1494 1.9053) (layer Dwgs.User) (width 0.25)) + (fp_line (start -10.1494 1.9053) (end -10.1494 1.9106) (layer Dwgs.User) (width 0.25)) + (fp_poly (pts (xy -9.90572 -1.19) (xy -9.7 -1.19) (xy -9.7 -0.750434) (xy -9.90572 -0.750434)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -9.52655 -1.19) (xy -9.3 -1.19) (xy -9.3 -0.752096) (xy -9.52655 -0.752096)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -9.11431 -1.19) (xy -8.9 -1.19) (xy -8.9 -0.75118) (xy -9.11431 -0.75118)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -8.70461 -1.19) (xy -8.5 -1.19) (xy -8.5 -0.750398) (xy -8.70461 -0.750398)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -8.5 1.19202) (xy -8.71476 1.19202) (xy -8.71476 0.75) (xy -8.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -8.9 1.19334) (xy -9.12557 1.19334) (xy -9.12557 0.75) (xy -8.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -9.3 1.19111) (xy -9.50889 1.19111) (xy -9.50889 0.75) (xy -9.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -9.7 1.19152) (xy -9.91267 1.19152) (xy -9.91267 0.75) (xy -9.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -8.3289 -1.19) (xy -8.1 -1.19) (xy -8.1 -0.752611) (xy -8.3289 -0.752611)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -7.91836 -1.19) (xy -7.7 -1.19) (xy -7.7 -0.751743) (xy -7.91836 -0.751743)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -7.50738 -1.19) (xy -7.3 -1.19) (xy -7.3 -0.750738) (xy -7.50738 -0.750738)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -7.10298 -1.19) (xy -6.9 -1.19) (xy -6.9 -0.750314) (xy -7.10298 -0.750314)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -6.9 1.1924) (xy -7.11428 1.1924) (xy -7.11428 0.75) (xy -6.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -7.3 1.192) (xy -7.51258 1.192) (xy -7.51258 0.75) (xy -7.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -7.7 1.19469) (xy -7.93115 1.19469) (xy -7.93115 0.75) (xy -7.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -8.1 1.19295) (xy -8.32057 1.19295) (xy -8.32057 0.75) (xy -8.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -5.3 1.19397) (xy -5.51834 1.19397) (xy -5.51834 0.75) (xy -5.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -5.7 1.19281) (xy -5.91394 1.19281) (xy -5.91394 0.75) (xy -5.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -6.1 1.19176) (xy -6.30931 1.19176) (xy -6.30931 0.75) (xy -6.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -6.5 1.19054) (xy -6.703 1.19054) (xy -6.703 0.75) (xy -6.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -3.7 1.1942) (xy -3.91375 1.1942) (xy -3.91375 0.75) (xy -3.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -4.1 1.19386) (xy -4.31394 1.19386) (xy -4.31394 0.75) (xy -4.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -4.5 1.19319) (xy -4.7126 1.19319) (xy -4.7126 0.75) (xy -4.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -4.9 1.19173) (xy -5.1074 1.19173) (xy -5.1074 0.75) (xy -4.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -3.3 1.19192) (xy -3.50564 1.19192) (xy -3.50564 0.75) (xy -3.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -1.7 1.19197) (xy -1.90313 1.19197) (xy -1.90313 0.75) (xy -1.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -2.1 1.19339) (xy -2.30656 1.19339) (xy -2.30656 0.75) (xy -2.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -2.5 1.19033) (xy -2.70075 1.19033) (xy -2.70075 0.75) (xy -2.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -2.9 1.1936) (xy -3.10937 1.1936) (xy -3.10937 0.75) (xy -2.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -0.1 1.19135) (xy -0.300342 1.19135) (xy -0.300342 0.75) (xy -0.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -0.5 1.19174) (xy -0.701024 1.19174) (xy -0.701024 0.75) (xy -0.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -0.9 1.19284) (xy -1.10262 1.19284) (xy -1.10262 0.75) (xy -0.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -1.3 1.19149) (xy -1.50188 1.19149) (xy -1.50188 0.75) (xy -1.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 1.5 1.19047) (xy 1.30052 1.19047) (xy 1.30052 0.75) (xy 1.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 1.1 1.19316) (xy 0.902388 1.19316) (xy 0.902388 0.75) (xy 1.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 0.7 1.19189) (xy 0.500794 1.19189) (xy 0.500794 0.75) (xy 0.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 0.3 1.19138) (xy 0.100115 1.19138) (xy 0.100115 0.75) (xy 0.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 3.1 1.19224) (xy 2.90546 1.19224) (xy 2.90546 0.75) (xy 3.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 2.7 1.19091) (xy 2.50191 1.19091) (xy 2.50191 0.75) (xy 2.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 2.3 1.19238) (xy 2.10421 1.19238) (xy 2.10421 0.75) (xy 2.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 1.9 1.19064) (xy 1.70092 1.19064) (xy 1.70092 0.75) (xy 1.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 3.5 1.19108) (xy 3.30299 1.19108) (xy 3.30299 0.75) (xy 3.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 5.1 1.19217) (xy 4.90893 1.19217) (xy 4.90893 0.75) (xy 5.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 4.7 1.1905) (xy 4.50189 1.1905) (xy 4.50189 0.75) (xy 4.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 4.3 1.19188) (xy 4.10647 1.19188) (xy 4.10647 0.75) (xy 4.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 3.9 1.19179) (xy 3.70555 1.19179) (xy 3.70555 0.75) (xy 3.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 6.7 1.19118) (xy 6.50644 1.19118) (xy 6.50644 0.75) (xy 6.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 6.3 1.19264) (xy 6.11352 1.19264) (xy 6.11352 0.75) (xy 6.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 5.9 1.1906) (xy 5.70287 1.1906) (xy 5.70287 0.75) (xy 5.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 5.5 1.193) (xy 5.31337 1.193) (xy 5.31337 0.75) (xy 5.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 8.3 1.19223) (xy 8.11513 1.19223) (xy 8.11513 0.75) (xy 8.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 7.9 1.19372) (xy 7.72405 1.19372) (xy 7.72405 0.75) (xy 7.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 7.5 1.19226) (xy 7.31385 1.19226) (xy 7.31385 0.75) (xy 7.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 7.1 1.19361) (xy 6.92093 1.19361) (xy 6.92093 0.75) (xy 7.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 9.9 1.19301) (xy 9.72454 1.19301) (xy 9.72454 0.75) (xy 9.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 9.5 1.19067) (xy 9.3052 1.19067) (xy 9.3052 0.75) (xy 9.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 9.1 1.19188) (xy 8.91403 1.19188) (xy 8.91403 0.75) (xy 9.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 8.7 1.19368) (xy 8.5263 1.19368) (xy 8.5263 0.75) (xy 8.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -1.50393 -1.19) (xy -1.3 -1.19) (xy -1.3 -0.751963) (xy -1.50393 -0.751963)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -1.10231 -1.19) (xy -0.9 -1.19) (xy -0.9 -0.751577) (xy -1.10231 -0.751577)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -0.701468 -1.19) (xy -0.5 -1.19) (xy -0.5 -0.751573) (xy -0.701468 -0.751573)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -0.300549 -1.19) (xy -0.1 -1.19) (xy -0.1 -0.751371) (xy -0.300549 -0.751371)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -3.10489 -1.19) (xy -2.9 -1.19) (xy -2.9 -0.751184) (xy -3.10489 -0.751184)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -2.70464 -1.19) (xy -2.5 -1.19) (xy -2.5 -0.751289) (xy -2.70464 -0.751289)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -2.30545 -1.19) (xy -2.1 -1.19) (xy -2.1 -0.751776) (xy -2.30545 -0.751776)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -1.90357 -1.19) (xy -1.7 -1.19) (xy -1.7 -0.751408) (xy -1.90357 -0.751408)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -4.70449 -1.19) (xy -4.5 -1.19) (xy -4.5 -0.750717) (xy -4.70449 -0.750717)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -4.309 -1.19) (xy -4.1 -1.19) (xy -4.1 -0.751571) (xy -4.309 -0.751571)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -3.90774 -1.19) (xy -3.7 -1.19) (xy -3.7 -0.751489) (xy -3.90774 -0.751489)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -3.50811 -1.19) (xy -3.3 -1.19) (xy -3.3 -0.751739) (xy -3.50811 -0.751739)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -6.31821 -1.19) (xy -6.1 -1.19) (xy -6.1 -0.752167) (xy -6.31821 -0.752167)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -5.91782 -1.19) (xy -5.7 -1.19) (xy -5.7 -0.752265) (xy -5.91782 -0.752265)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -5.50958 -1.19) (xy -5.3 -1.19) (xy -5.3 -0.751306) (xy -5.50958 -0.751306)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -5.10284 -1.19) (xy -4.9 -1.19) (xy -4.9 -0.750418) (xy -5.10284 -0.750418)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -6.71425 -1.19) (xy -6.5 -1.19) (xy -6.5 -0.751596) (xy -6.71425 -0.751596)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 5.31076 -1.19) (xy 5.5 -1.19) (xy 5.5 -0.751523) (xy 5.31076 -0.751523)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 5.70984 -1.19) (xy 5.9 -1.19) (xy 5.9 -0.751295) (xy 5.70984 -0.751295)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 6.10728 -1.19) (xy 6.3 -1.19) (xy 6.3 -0.750895) (xy 6.10728 -0.750895)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 6.51761 -1.19) (xy 6.7 -1.19) (xy 6.7 -0.752032) (xy 6.51761 -0.752032)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 3.70935 -1.19) (xy 3.9 -1.19) (xy 3.9 -0.751895) (xy 3.70935 -0.751895)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 4.10924 -1.19) (xy 4.3 -1.19) (xy 4.3 -0.75169) (xy 4.10924 -0.75169)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 4.51011 -1.19) (xy 4.7 -1.19) (xy 4.7 -0.751684) (xy 4.51011 -0.751684)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 4.90683 -1.19) (xy 5.1 -1.19) (xy 5.1 -0.751046) (xy 4.90683 -0.751046)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 2.10486 -1.19) (xy 2.3 -1.19) (xy 2.3 -0.751734) (xy 2.10486 -0.751734)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 2.50708 -1.19) (xy 2.7 -1.19) (xy 2.7 -0.752123) (xy 2.50708 -0.752123)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 2.90663 -1.19) (xy 3.1 -1.19) (xy 3.1 -0.751716) (xy 2.90663 -0.751716)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 3.30839 -1.19) (xy 3.5 -1.19) (xy 3.5 -0.751908) (xy 3.30839 -0.751908)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 0.501201 -1.19) (xy 0.7 -1.19) (xy 0.7 -0.7518) (xy 0.501201 -0.7518)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 0.901456 -1.19) (xy 1.1 -1.19) (xy 1.1 -0.751214) (xy 0.901456 -0.751214)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 1.30081 -1.19) (xy 1.5 -1.19) (xy 1.5 -0.750468) (xy 1.30081 -0.750468)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 1.70264 -1.19) (xy 1.9 -1.19) (xy 1.9 -0.751164) (xy 1.70264 -0.751164)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 0.10016 -1.19) (xy 0.3 -1.19) (xy 0.3 -0.751204) (xy 0.10016 -0.751204)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 8.90404 -1.19) (xy 9.1 -1.19) (xy 9.1 -0.750341) (xy 8.90404 -0.750341)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 9.32383 -1.19) (xy 9.5 -1.19) (xy 9.5 -0.751921) (xy 9.32383 -0.751921)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 9.72782 -1.19) (xy 9.9 -1.19) (xy 9.9 -0.752151) (xy 9.72782 -0.752151)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 7.32082 -1.19) (xy 7.5 -1.19) (xy 7.5 -0.752139) (xy 7.32082 -0.752139)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 7.70678 -1.19) (xy 7.9 -1.19) (xy 7.9 -0.75066) (xy 7.70678 -0.75066)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 8.10702 -1.19) (xy 8.3 -1.19) (xy 8.3 -0.75065) (xy 8.10702 -0.75065)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 8.51702 -1.19) (xy 8.7 -1.19) (xy 8.7 -0.751502) (xy 8.51702 -0.751502)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 6.9137 -1.19) (xy 7.1 -1.19) (xy 7.1 -0.751489) (xy 6.9137 -0.751489)) (layer Dwgs.User) (width 0.381)) + (pad 1 smd rect (at -9.8 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 2 smd rect (at -9.8 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 3 smd rect (at -9.4 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 4 smd rect (at -9.4 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 5 smd rect (at -9 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 6 smd rect (at -9 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 7 smd rect (at -8.6 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 8 smd rect (at -8.6 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 9 smd rect (at -8.2 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 10 smd rect (at -8.2 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 11 smd rect (at -7.8 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 12 smd rect (at -7.8 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 13 smd rect (at -7.4 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 14 smd rect (at -7.4 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 15 smd rect (at -7 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 16 smd rect (at -7 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 17 smd rect (at -6.6 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 18 smd rect (at -6.6 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 19 smd rect (at -6.2 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 20 smd rect (at -6.2 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 21 smd rect (at -5.8 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 22 smd rect (at -5.8 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 23 smd rect (at -5.4 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 24 smd rect (at -5.4 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 25 smd rect (at -5 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 26 smd rect (at -5 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 27 smd rect (at -4.6 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 28 smd rect (at -4.6 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 29 smd rect (at -4.2 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 30 smd rect (at -4.2 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 31 smd rect (at -3.8 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 32 smd rect (at -3.8 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 33 smd rect (at -3.4 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 34 smd rect (at -3.4 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 35 smd rect (at -3 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 36 smd rect (at -3 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 37 smd rect (at -2.6 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 38 smd rect (at -2.6 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 39 smd rect (at -2.2 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 40 smd rect (at -2.2 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 41 smd rect (at -1.8 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 42 smd rect (at -1.8 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 43 smd rect (at -1.4 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 44 smd rect (at -1.4 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 45 smd rect (at -1 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 46 smd rect (at -1 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 47 smd rect (at -0.6 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 48 smd rect (at -0.6 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 49 smd rect (at -0.2 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 50 smd rect (at -0.2 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 51 smd rect (at 0.2 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 52 smd rect (at 0.2 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 53 smd rect (at 0.6 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 54 smd rect (at 0.6 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 55 smd rect (at 1 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 56 smd rect (at 1 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 57 smd rect (at 1.4 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 58 smd rect (at 1.4 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 59 smd rect (at 1.8 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 60 smd rect (at 1.8 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 61 smd rect (at 2.2 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 62 smd rect (at 2.2 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 63 smd rect (at 2.6 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 64 smd rect (at 2.6 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 65 smd rect (at 3 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 66 smd rect (at 3 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 67 smd rect (at 3.4 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 68 smd rect (at 3.4 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 69 smd rect (at 3.8 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 70 smd rect (at 3.8 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 71 smd rect (at 4.2 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 72 smd rect (at 4.2 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 73 smd rect (at 4.6 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 74 smd rect (at 4.6 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 75 smd rect (at 5 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 76 smd rect (at 5 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 77 smd rect (at 5.4 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 78 smd rect (at 5.4 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 79 smd rect (at 5.8 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 80 smd rect (at 5.8 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 81 smd rect (at 6.2 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 82 smd rect (at 6.2 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 83 smd rect (at 6.6 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 84 smd rect (at 6.6 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 85 smd rect (at 7 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 86 smd rect (at 7 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 87 smd rect (at 7.4 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 88 smd rect (at 7.4 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 89 smd rect (at 7.8 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 90 smd rect (at 7.8 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 91 smd rect (at 8.2 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 92 smd rect (at 8.2 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 93 smd rect (at 8.6 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 94 smd rect (at 8.6 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 95 smd rect (at 9 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 96 smd rect (at 9 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 97 smd rect (at 9.4 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 98 smd rect (at 9.4 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 99 smd rect (at 9.8 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 100 smd rect (at 9.8 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) +) diff --git a/reform-display.sch b/reform-display.sch @@ -0,0 +1,201 @@ +EESchema Schematic File Version 2 +LIBS:power +LIBS:device +LIBS:switches +LIBS:relays +LIBS:motors +LIBS:transistors +LIBS:conn +LIBS:linear +LIBS:regul +LIBS:74xx +LIBS:cmos4000 +LIBS:adc-dac +LIBS:memory +LIBS:xilinx +LIBS:microcontrollers +LIBS:dsp +LIBS:microchip +LIBS:analog_switches +LIBS:motorola +LIBS:texas +LIBS:intel +LIBS:audio +LIBS:interface +LIBS:digital-audio +LIBS:philips +LIBS:display +LIBS:cypress +LIBS:siliconi +LIBS:opto +LIBS:atmel +LIBS:contrib +LIBS:valves +LIBS:DF40C-100DS-0.4V_51_ +LIBS:5622-4100-ML +LIBS:mpcie +LIBS:TPS54616PWPR +LIBS:conn_hdmi +LIBS:J0G-0003NL +LIBS:reform-motherboard-cache +EELAYER 25 0 +EELAYER END +$Descr A4 11693 8268 +encoding utf-8 +Sheet 6 6 +Title "" +Date "" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L Conn_02x08_Odd_Even J14 +U 1 1 5A0313D4 +P 3350 3250 +F 0 "J14" H 3400 3650 50 0000 C CNN +F 1 "LVDS_Conn" H 3400 2750 50 0000 C CNN +F 2 "Pin_Headers:Pin_Header_Angled_2x08_Pitch2.54mm" H 3350 3250 50 0001 C CNN +F 3 "" H 3350 3250 50 0001 C CNN + 1 3350 3250 + 1 0 0 -1 +$EndComp +Text GLabel 3150 2950 0 60 Input ~ 0 +LVDS0_CLK_N +Text GLabel 3150 3050 0 60 Input ~ 0 +LVDS0_CLK_P +Text GLabel 3150 3250 0 60 Input ~ 0 +LVDS0_TX2_N +Text GLabel 3150 3350 0 60 Input ~ 0 +LVDS0_TX2_P +Text GLabel 3150 3550 0 60 Input ~ 0 +LVDS0_TX3_N +Text GLabel 3150 3650 0 60 Input ~ 0 +LVDS0_TX3_P +Text GLabel 3650 2950 2 60 Input ~ 0 +LVDS0_TX0_N +Text GLabel 3650 3050 2 60 Input ~ 0 +LVDS0_TX0_P +Text GLabel 3650 3250 2 60 Input ~ 0 +LVDS0_TX1_N +Text GLabel 3650 3350 2 60 Input ~ 0 +LVDS0_TX1_P +Text GLabel 3650 3550 2 60 Input ~ 0 +LVDS0_PWM +$Comp +L GND #PWR097 +U 1 1 5A04D243 +P 2250 3450 +F 0 "#PWR097" H 2250 3200 50 0001 C CNN +F 1 "GND" H 2250 3300 50 0000 C CNN +F 2 "" H 2250 3450 50 0001 C CNN +F 3 "" H 2250 3450 50 0001 C CNN + 1 2250 3450 + 1 0 0 -1 +$EndComp +Wire Wire Line + 3150 3450 2250 3450 +$Comp +L +3V3 #PWR098 +U 1 1 5A04D9E1 +P 2350 3150 +F 0 "#PWR098" H 2350 3000 50 0001 C CNN +F 1 "+3V3" H 2350 3290 50 0000 C CNN +F 2 "" H 2350 3150 50 0001 C CNN +F 3 "" H 2350 3150 50 0001 C CNN + 1 2350 3150 + 0 -1 -1 0 +$EndComp +Wire Wire Line + 2350 3150 3150 3150 +$Comp +L +5V #PWR099 +U 1 1 5A04DA01 +P 4450 3150 +F 0 "#PWR099" H 4450 3000 50 0001 C CNN +F 1 "+5V" H 4450 3290 50 0000 C CNN +F 2 "" H 4450 3150 50 0001 C CNN +F 3 "" H 4450 3150 50 0001 C CNN + 1 4450 3150 + 0 1 1 0 +$EndComp +Wire Wire Line + 3650 3150 4450 3150 +Text GLabel 3650 1750 2 60 Input ~ 0 +HDMI_D1_N +Text GLabel 3650 1650 2 60 Input ~ 0 +HDMI_D1_P +Text GLabel 3150 1650 0 60 Input ~ 0 +HDMI_D2_N +Text GLabel 3150 1550 0 60 Input ~ 0 +HDMI_D2_P +Text GLabel 3150 2450 0 60 Output ~ 0 +HDMI_HPD +Text GLabel 3650 2050 2 60 Input ~ 0 +HDMI_CLK_N +Text GLabel 3650 1950 2 60 Input ~ 0 +HDMI_CLK_P +Text GLabel 3150 1950 0 60 Input ~ 0 +HDMI_D0_N +Text GLabel 3150 1850 0 60 Input ~ 0 +HDMI_D0_P +Text GLabel 3150 2150 0 60 Input ~ 0 +HDMI_CEC_IN +$Comp +L GND #PWR0100 +U 1 1 5A04E695 +P 2200 2450 +F 0 "#PWR0100" H 2200 2200 50 0001 C CNN +F 1 "GND" H 2200 2300 50 0000 C CNN +F 2 "" H 2200 2450 50 0001 C CNN +F 3 "" H 2200 2450 50 0001 C CNN + 1 2200 2450 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2200 1750 2200 2450 +Wire Wire Line + 2200 2350 3150 2350 +Wire Wire Line + 3150 1750 2200 1750 +Connection ~ 2200 2350 +Wire Wire Line + 3150 2050 2200 2050 +Connection ~ 2200 2050 +Wire Wire Line + 3650 1550 4300 1550 +Wire Wire Line + 4300 1550 4300 2500 +Wire Wire Line + 4300 1850 3650 1850 +$Comp +L Conn_HDMI J13 +U 1 1 5A04EB26 +P 3350 1950 +F 0 "J13" H 3400 2450 50 0000 C CNN +F 1 "Conn_HDMI" H 3400 1350 50 0000 C CNN +F 2 "685119134923:685119134923" H 3350 1950 50 0001 C CNN +F 3 "" H 3350 1950 50 0001 C CNN + 1 3350 1950 + 1 0 0 -1 +$EndComp +Text GLabel 3150 2250 0 60 Input ~ 0 +I2C1_SCL +Text GLabel 3650 2250 2 60 BiDi ~ 0 +I2C1_SDA +Connection ~ 4300 1850 +$Comp +L GND #PWR0101 +U 1 1 5A04F2E0 +P 4300 2500 +F 0 "#PWR0101" H 4300 2250 50 0001 C CNN +F 1 "GND" H 4300 2350 50 0000 C CNN +F 2 "" H 4300 2500 50 0001 C CNN +F 3 "" H 4300 2500 50 0001 C CNN + 1 4300 2500 + 1 0 0 -1 +$EndComp +$EndSCHEMATC diff --git a/reform-eth-usb.sch b/reform-eth-usb.sch @@ -0,0 +1,246 @@ +EESchema Schematic File Version 2 +LIBS:power +LIBS:device +LIBS:switches +LIBS:relays +LIBS:motors +LIBS:transistors +LIBS:conn +LIBS:linear +LIBS:regul +LIBS:74xx +LIBS:cmos4000 +LIBS:adc-dac +LIBS:memory +LIBS:xilinx +LIBS:microcontrollers +LIBS:dsp +LIBS:microchip +LIBS:analog_switches +LIBS:motorola +LIBS:texas +LIBS:intel +LIBS:audio +LIBS:interface +LIBS:digital-audio +LIBS:philips +LIBS:display +LIBS:cypress +LIBS:siliconi +LIBS:opto +LIBS:atmel +LIBS:contrib +LIBS:valves +LIBS:DF40C-100DS-0.4V_51_ +LIBS:5622-4100-ML +LIBS:mpcie +LIBS:TPS54616PWPR +LIBS:conn_hdmi +LIBS:J0G-0003NL +LIBS:reform-motherboard-cache +EELAYER 25 0 +EELAYER END +$Descr A4 11693 8268 +encoding utf-8 +Sheet 5 6 +Title "" +Date "" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L USB_A J5 +U 1 1 5A02373C +P 2800 3850 +F 0 "J5" H 2600 4300 50 0000 L CNN +F 1 "USB_A" H 2600 4200 50 0000 L CNN +F 2 "Connect:USB_A" H 2950 3800 50 0001 C CNN +F 3 "" H 2950 3800 50 0001 C CNN + 1 2800 3850 + 1 0 0 -1 +$EndComp +$Comp +L USB_A J7 +U 1 1 5A0237A7 +P 3550 3850 +F 0 "J7" H 3350 4300 50 0000 L CNN +F 1 "USB_A" H 3350 4200 50 0000 L CNN +F 2 "Connect:USB_A" H 3700 3800 50 0001 C CNN +F 3 "" H 3700 3800 50 0001 C CNN + 1 3550 3850 + 1 0 0 -1 +$EndComp +$Comp +L USB_A J9 +U 1 1 5A023813 +P 4250 3850 +F 0 "J9" H 4050 4300 50 0000 L CNN +F 1 "USB_A" H 4050 4200 50 0000 L CNN +F 2 "Connect:USB_A" H 4400 3800 50 0001 C CNN +F 3 "" H 4400 3800 50 0001 C CNN + 1 4250 3850 + 1 0 0 -1 +$EndComp +$Comp +L Audio-Jack-3 J6 +U 1 1 5A023B3B +P 2800 4800 +F 0 "J6" H 2750 4975 50 0000 C CNN +F 1 "Audio-Jack-3" H 2900 4730 50 0000 C CNN +F 2 "Connect:PJ320D_3.5mm_Jack" H 3050 4900 50 0001 C CNN +F 3 "" H 3050 4900 50 0001 C CNN + 1 2800 4800 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR094 +U 1 1 5A0241FD +P 2800 4250 +F 0 "#PWR094" H 2800 4000 50 0001 C CNN +F 1 "GND" H 2800 4100 50 0000 C CNN +F 2 "" H 2800 4250 50 0001 C CNN +F 3 "" H 2800 4250 50 0001 C CNN + 1 2800 4250 + 1 0 0 -1 +$EndComp +Connection ~ 3550 4250 +Connection ~ 4150 4250 +Connection ~ 2800 4250 +Connection ~ 3450 4250 +Wire Wire Line + 2700 4250 4250 4250 +$Comp +L J0G-0003NL P2 +U 1 1 5A04FC73 +P 7150 3550 +F 0 "P2" H 6346 5711 50 0000 L BNN +F 1 "J0G-0003NL" H 6347 1241 50 0000 L BNN +F 2 "J0G-0003NL:PULSE_J0G-0003NL" H 7150 3550 50 0001 L BNN +F 3 "Conn RJ-45 Integrated Magnetics F 8 POS 2.03mm Solder RA Thru-Hole 17 Terminal 1 Port" H 7150 3550 50 0001 L BNN +F 4 "Pulse" H 7150 3550 50 0001 L BNN "MF" +F 5 "Good" H 7150 3550 50 0001 L BNN "Availability" +F 6 "7.33 USD" H 7150 3550 50 0001 L BNN "Price" +F 7 "J0G-0003NL" H 7150 3550 50 0001 L BNN "MP" +F 8 "None" H 7150 3550 50 0001 L BNN "Package" + 1 7150 3550 + 1 0 0 -1 +$EndComp +$Comp +L +3V3 #PWR095 +U 1 1 5A04FD4C +P 5250 4650 +F 0 "#PWR095" H 5250 4500 50 0001 C CNN +F 1 "+3V3" H 5250 4790 50 0000 C CNN +F 2 "" H 5250 4650 50 0001 C CNN +F 3 "" H 5250 4650 50 0001 C CNN + 1 5250 4650 + 1 0 0 -1 +$EndComp +Wire Wire Line + 5000 4750 6150 4750 +Wire Wire Line + 5250 4650 5250 5350 +Wire Wire Line + 5250 5350 6150 5350 +Connection ~ 5250 4750 +$Comp +L C_Small C13 +U 1 1 5A04FD85 +P 5000 4900 +F 0 "C13" H 5010 4970 50 0000 L CNN +F 1 "100n" H 5010 4820 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603_HandSoldering" H 5000 4900 50 0001 C CNN +F 3 "" H 5000 4900 50 0001 C CNN + 1 5000 4900 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR096 +U 1 1 5A04FDB4 +P 5000 5000 +F 0 "#PWR096" H 5000 4750 50 0001 C CNN +F 1 "GND" H 5000 4850 50 0000 C CNN +F 2 "" H 5000 5000 50 0001 C CNN +F 3 "" H 5000 5000 50 0001 C CNN + 1 5000 5000 + 1 0 0 -1 +$EndComp +Text GLabel 6150 2950 0 60 BiDi ~ 0 +TRD2_N +Text GLabel 6150 2350 0 60 BiDi ~ 0 +TRD2_P +Text GLabel 6150 2150 0 60 BiDi ~ 0 +TRD3_N +Text GLabel 6150 1550 0 60 BiDi ~ 0 +TRD3_P +Text GLabel 6150 4950 0 60 Output ~ 0 +ENET_LED_LINK +Text GLabel 6150 5550 0 60 Output ~ 0 +ENET_LED_RX +Wire Wire Line + 5000 4800 5000 4750 +Text GLabel 6150 4550 0 60 BiDi ~ 0 +TRD0_N +Text GLabel 6150 3950 0 60 BiDi ~ 0 +TRD0_P +Text GLabel 6150 3750 0 60 BiDi ~ 0 +TRD1_N +Text GLabel 6150 3150 0 60 BiDi ~ 0 +TRD1_P +$Comp +L C_Small C14 +U 1 1 5A05122D +P 5450 1950 +F 0 "C14" H 5460 2020 50 0000 L CNN +F 1 "100n" H 5460 1870 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603_HandSoldering" H 5450 1950 50 0001 C CNN +F 3 "" H 5450 1950 50 0001 C CNN + 1 5450 1950 + 1 0 0 -1 +$EndComp +Wire Wire Line + 5450 1850 6150 1850 +$Comp +L C_Small C15 +U 1 1 5A051336 +P 5450 2750 +F 0 "C15" H 5460 2820 50 0000 L CNN +F 1 "100n" H 5460 2670 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603_HandSoldering" H 5450 2750 50 0001 C CNN +F 3 "" H 5450 2750 50 0001 C CNN + 1 5450 2750 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C16 +U 1 1 5A0513CA +P 5450 3550 +F 0 "C16" H 5460 3620 50 0000 L CNN +F 1 "100n" H 5460 3470 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603_HandSoldering" H 5450 3550 50 0001 C CNN +F 3 "" H 5450 3550 50 0001 C CNN + 1 5450 3550 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C17 +U 1 1 5A05141B +P 5450 4350 +F 0 "C17" H 5460 4420 50 0000 L CNN +F 1 "100n" H 5460 4270 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603_HandSoldering" H 5450 4350 50 0001 C CNN +F 3 "" H 5450 4350 50 0001 C CNN + 1 5450 4350 + 1 0 0 -1 +$EndComp +Wire Wire Line + 6150 4250 5450 4250 +Wire Wire Line + 5450 3450 6150 3450 +Wire Wire Line + 6150 2650 5450 2650 +$EndSCHEMATC diff --git a/reform-motherboard.kicad_pcb b/reform-motherboard.kicad_pcb @@ -0,0 +1,3465 @@ +(kicad_pcb (version 4) (host pcbnew 4.0.7+dfsg1-1) + + (general + (links 227) + (no_connects 213) + (area 31.475 23.557793 143.635001 112.778962) + (thickness 1.6) + (drawings 8) + (tracks 155) + (zones 0) + (modules 35) + (nets 278) + ) + + (page A4) + (layers + (0 F.Cu signal) + (1 GND.Cu power) + (2 Power.Cu mixed) + (31 B.Cu signal) + (32 B.Adhes user) + (33 F.Adhes user) + (34 B.Paste user) + (35 F.Paste user) + (36 B.SilkS user) + (37 F.SilkS user) + (38 B.Mask user) + (39 F.Mask user) + (40 Dwgs.User user) + (41 Cmts.User user) + (42 Eco1.User user) + (43 Eco2.User user) + (44 Edge.Cuts user) + (45 Margin user) + (46 B.CrtYd user) + (47 F.CrtYd user) + (48 B.Fab user) + (49 F.Fab user) + ) + + (setup + (last_trace_width 0.15) + (trace_clearance 0.15) + (zone_clearance 0.508) + (zone_45_only no) + (trace_min 0.1) + (segment_width 0.2) + (edge_width 0.15) + (via_size 0.4) + (via_drill 0.3) + (via_min_size 0.4) + (via_min_drill 0.3) + (uvia_size 0.3) + (uvia_drill 0.1) + (uvias_allowed no) + (uvia_min_size 0.2) + (uvia_min_drill 0.1) + (pcb_text_width 0.3) + (pcb_text_size 1.5 1.5) + (mod_edge_width 0.15) + (mod_text_size 1 1) + (mod_text_width 0.15) + (pad_size 1.524 1.524) + (pad_drill 0.762) + (pad_to_mask_clearance 0.2) + (aux_axis_origin 0 0) + (visible_elements FFFFFF7F) + (pcbplotparams + (layerselection 0x00030_80000001) + (usegerberextensions false) + (excludeedgelayer true) + (linewidth 0.100000) + (plotframeref false) + (viasonmask false) + (mode 1) + (useauxorigin false) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15) + (hpglpenoverlay 2) + (psnegative false) + (psa4output false) + (plotreference true) + (plotvalue true) + (plotinvisibletext false) + (padsonsilk false) + (subtractmaskfromsilk false) + (outputformat 1) + (mirror false) + (drillshape 1) + (scaleselection 1) + (outputdirectory "")) + ) + + (net 0 "") + (net 1 +3V3) + (net 2 GND) + (net 3 +1V5) + (net 4 "Net-(C10-Pad1)") + (net 5 +5V) + (net 6 "Net-(J1-Pad43)") + (net 7 "Net-(J1-Pad45)") + (net 8 "Net-(J1-Pad47)") + (net 9 "Net-(J1-Pad48)") + (net 10 "Net-(J1-Pad50)") + (net 11 "Net-(J1-Pad51)") + (net 12 "Net-(J1-Pad52)") + (net 13 "Net-(J1-Pad53)") + (net 14 "Net-(J1-Pad54)") + (net 15 "Net-(J1-Pad55)") + (net 16 "Net-(J1-Pad57)") + (net 17 "Net-(J1-Pad58)") + (net 18 "Net-(J1-Pad60)") + (net 19 "Net-(J1-Pad61)") + (net 20 "Net-(J1-Pad62)") + (net 21 "Net-(J1-Pad63)") + (net 22 "Net-(J1-Pad64)") + (net 23 "Net-(J1-Pad65)") + (net 24 "Net-(J1-Pad67)") + (net 25 "Net-(J1-Pad68)") + (net 26 "Net-(J1-Pad69)") + (net 27 "Net-(J1-Pad70)") + (net 28 "Net-(J1-Pad71)") + (net 29 "Net-(J1-Pad72)") + (net 30 "Net-(J1-Pad73)") + (net 31 "Net-(J1-Pad74)") + (net 32 "Net-(J1-Pad75)") + (net 33 HDMI_HPD) + (net 34 HDMI_D1_N) + (net 35 HDMI_CEC_IN) + (net 36 HDMI_D1_P) + (net 37 HDMI_CEC_STBY) + (net 38 HDMI_D2_N) + (net 39 HDMI_D0_N) + (net 40 HDMI_D2_P) + (net 41 HDMI_D0_P) + (net 42 "Net-(J1-Pad91)") + (net 43 HDMI_CLK_N) + (net 44 "Net-(J1-Pad93)") + (net 45 HDMI_CLK_P) + (net 46 "Net-(J1-Pad97)") + (net 47 "Net-(J1-Pad98)") + (net 48 "Net-(J1-Pad99)") + (net 49 "Net-(J1-Pad100)") + (net 50 "Net-(J2-Pad1)") + (net 51 RSTINn) + (net 52 RSTOUTn) + (net 53 POK_1V5) + (net 54 POK_5V) + (net 55 POK_3V3) + (net 56 "Net-(J2-Pad10)") + (net 57 "Net-(J2-Pad13)") + (net 58 "Net-(J2-Pad14)") + (net 59 "Net-(J2-Pad15)") + (net 60 "Net-(J2-Pad16)") + (net 61 "Net-(J2-Pad17)") + (net 62 "Net-(J2-Pad18)") + (net 63 "Net-(J2-Pad19)") + (net 64 "Net-(J2-Pad20)") + (net 65 "Net-(J2-Pad22)") + (net 66 "Net-(J2-Pad23)") + (net 67 "Net-(J2-Pad25)") + (net 68 "Net-(J2-Pad27)") + (net 69 "Net-(J2-Pad29)") + (net 70 "Net-(J2-Pad30)") + (net 71 "Net-(J2-Pad32)") + (net 72 SD3_CD) + (net 73 SD3_WP) + (net 74 SATA_RX_N) + (net 75 SD3_CLK) + (net 76 SATA_RX_P) + (net 77 SD3_CMD) + (net 78 SATA_TX_N) + (net 79 SD3_DATA0) + (net 80 SATA_TX_P) + (net 81 SD3_DATA1) + (net 82 SD3_DATA2) + (net 83 SD3_DATA3) + (net 84 UART1_TXD) + (net 85 UART1_RXD) + (net 86 UART1_RTS) + (net 87 "Net-(J2-Pad58)") + (net 88 UART1_CTS) + (net 89 "Net-(J2-Pad60)") + (net 90 "Net-(J2-Pad61)") + (net 91 "Net-(J2-Pad62)") + (net 92 "Net-(J2-Pad63)") + (net 93 "Net-(J2-Pad64)") + (net 94 USB1_N) + (net 95 "Net-(J2-Pad68)") + (net 96 USB1_P) + (net 97 USB_OC) + (net 98 USB1_PWR_EN) + (net 99 USB0_N) + (net 100 USB0_PWR_EN) + (net 101 USB0_P) + (net 102 PCIE_WAKE) + (net 103 PCIE_TX_N) + (net 104 PCIE_CLK_N) + (net 105 PCIE_TX_P) + (net 106 PCIE_CLK_P) + (net 107 PCIE_RX_N) + (net 108 "Net-(J2-Pad86)") + (net 109 PCIE_RX_P) + (net 110 "Net-(J2-Pad88)") + (net 111 "Net-(J2-Pad91)") + (net 112 "Net-(J2-Pad92)") + (net 113 "Net-(J2-Pad93)") + (net 114 "Net-(J2-Pad94)") + (net 115 "Net-(J2-Pad96)") + (net 116 "Net-(J2-Pad97)") + (net 117 "Net-(J2-Pad98)") + (net 118 "Net-(J2-Pad99)") + (net 119 "Net-(J2-Pad100)") + (net 120 +1V2) + (net 121 "Net-(J3-Pad13)") + (net 122 "Net-(J3-Pad15)") + (net 123 "Net-(J3-Pad17)") + (net 124 "Net-(J3-Pad18)") + (net 125 "Net-(J3-Pad19)") + (net 126 "Net-(J3-Pad21)") + (net 127 "Net-(J3-Pad22)") + (net 128 "Net-(J3-Pad23)") + (net 129 "Net-(J3-Pad24)") + (net 130 "Net-(J3-Pad26)") + (net 131 "Net-(J3-Pad27)") + (net 132 "Net-(J3-Pad29)") + (net 133 "Net-(J3-Pad30)") + (net 134 "Net-(J3-Pad31)") + (net 135 "Net-(J3-Pad32)") + (net 136 "Net-(J3-Pad33)") + (net 137 "Net-(J3-Pad34)") + (net 138 "Net-(J3-Pad36)") + (net 139 "Net-(J3-Pad37)") + (net 140 "Net-(J3-Pad39)") + (net 141 "Net-(J3-Pad40)") + (net 142 "Net-(J3-Pad41)") + (net 143 "Net-(J3-Pad42)") + (net 144 "Net-(J3-Pad43)") + (net 145 "Net-(J3-Pad44)") + (net 146 "Net-(J3-Pad46)") + (net 147 "Net-(J3-Pad47)") + (net 148 "Net-(J3-Pad49)") + (net 149 "Net-(J3-Pad50)") + (net 150 "Net-(J3-Pad51)") + (net 151 "Net-(J3-Pad52)") + (net 152 "Net-(J3-Pad53)") + (net 153 "Net-(J3-Pad54)") + (net 154 "Net-(J3-Pad56)") + (net 155 "Net-(J3-Pad57)") + (net 156 +2V5) + (net 157 "Net-(J3-Pad59)") + (net 158 "Net-(J3-Pad60)") + (net 159 "Net-(J3-Pad61)") + (net 160 "Net-(J3-Pad62)") + (net 161 "Net-(J3-Pad63)") + (net 162 "Net-(J3-Pad64)") + (net 163 SD1_WP) + (net 164 "Net-(J3-Pad66)") + (net 165 SD1_CD) + (net 166 "Net-(J3-Pad70)") + (net 167 "Net-(J3-Pad71)") + (net 168 "Net-(J3-Pad72)") + (net 169 "Net-(J3-Pad73)") + (net 170 "Net-(J3-Pad74)") + (net 171 "Net-(J3-Pad75)") + (net 172 "Net-(J3-Pad76)") + (net 173 "Net-(J3-Pad77)") + (net 174 "Net-(J3-Pad78)") + (net 175 SD1_DATA4) + (net 176 SD1_DATA0) + (net 177 SD1_DATA5) + (net 178 SD1_DATA1) + (net 179 SD1_DATA6) + (net 180 SD1_DATA2) + (net 181 SD1_DATA7) + (net 182 SD1_DATA3) + (net 183 SD1_CLK) + (net 184 SD1_CMD) + (net 185 "Net-(J3-Pad93)") + (net 186 "Net-(J3-Pad94)") + (net 187 "Net-(J3-Pad95)") + (net 188 "Net-(J3-Pad96)") + (net 189 "Net-(J3-Pad97)") + (net 190 "Net-(J3-Pad98)") + (net 191 "Net-(J3-Pad99)") + (net 192 "Net-(J3-Pad100)") + (net 193 "Net-(J4-Pad9)") + (net 194 "Net-(P1-PadP11)") + (net 195 "Net-(P1-PadP13)") + (net 196 "Net-(P1-PadP14)") + (net 197 "Net-(P1-PadP15)") + (net 198 "Net-(U1-Pad3)") + (net 199 "Net-(U1-Pad5)") + (net 200 "Net-(U1-Pad7)") + (net 201 "Net-(U1-Pad8)") + (net 202 "Net-(U1-Pad10)") + (net 203 "Net-(U1-Pad12)") + (net 204 "Net-(U1-Pad14)") + (net 205 "Net-(U1-Pad16)") + (net 206 "Net-(U1-Pad17)") + (net 207 "Net-(U1-Pad19)") + (net 208 "Net-(U1-Pad20)") + (net 209 "Net-(U1-Pad22)") + (net 210 "Net-(U1-Pad30)") + (net 211 "Net-(U1-Pad32)") + (net 212 "Net-(U1-Pad36)") + (net 213 "Net-(U1-Pad38)") + (net 214 "Net-(U1-Pad42)") + (net 215 "Net-(U1-Pad44)") + (net 216 "Net-(U1-Pad45)") + (net 217 "Net-(U1-Pad46)") + (net 218 "Net-(U1-Pad47)") + (net 219 "Net-(U1-Pad49)") + (net 220 "Net-(U1-Pad51)") + (net 221 "Net-(J5-Pad3)") + (net 222 "Net-(J5-Pad2)") + (net 223 "Net-(J5-Pad1)") + (net 224 "Net-(J6-Pad1)") + (net 225 "Net-(J6-Pad2)") + (net 226 "Net-(J6-Pad3)") + (net 227 "Net-(J7-Pad3)") + (net 228 "Net-(J7-Pad2)") + (net 229 "Net-(J7-Pad1)") + (net 230 "Net-(J9-Pad3)") + (net 231 "Net-(J9-Pad2)") + (net 232 "Net-(J9-Pad1)") + (net 233 "Net-(C14-Pad1)") + (net 234 "Net-(C14-Pad2)") + (net 235 "Net-(C15-Pad1)") + (net 236 "Net-(C15-Pad2)") + (net 237 "Net-(C16-Pad1)") + (net 238 "Net-(C16-Pad2)") + (net 239 "Net-(C17-Pad1)") + (net 240 "Net-(C17-Pad2)") + (net 241 LVDS0_CLK_N) + (net 242 LVDS0_TX0_N) + (net 243 LVDS0_CLK_P) + (net 244 LVDS0_TX0_P) + (net 245 LVDS0_TX2_N) + (net 246 LVDS0_TX1_N) + (net 247 LVDS0_TX2_P) + (net 248 LVDS0_TX1_P) + (net 249 LVDS0_TX3_N) + (net 250 LVDS0_PWM) + (net 251 LVDS0_TX3_P) + (net 252 LVDS0_CABC) + (net 253 BOOT_MODE0_CON) + (net 254 "Net-(J10-Pad1)") + (net 255 "Net-(J11-Pad1)") + (net 256 I2C1_SCL) + (net 257 I2C1_SDA) + (net 258 I2C2_SCL) + (net 259 I2C2_SDA) + (net 260 I2C3_SDA) + (net 261 I2C3_SCL) + (net 262 TRD0_N) + (net 263 TRD2_N) + (net 264 TRD0_P) + (net 265 TRD2_P) + (net 266 TRD1_N) + (net 267 TRD3_N) + (net 268 TRD1_P) + (net 269 TRD3_P) + (net 270 ENET_LED_LINK) + (net 271 ENET_LED_RX) + (net 272 "Net-(J13-Pad14)") + (net 273 "Net-(J13-Pad18)") + (net 274 "Net-(J14-Pad12)") + (net 275 "Net-(J14-Pad16)") + (net 276 "Net-(P2-Pad15)") + (net 277 "Net-(P2-PadSH1)") + + (net_class Default "This is the default net class." + (clearance 0.15) + (trace_width 0.15) + (via_dia 0.4) + (via_drill 0.3) + (uvia_dia 0.3) + (uvia_drill 0.1) + (add_net +1V2) + (add_net +1V5) + (add_net +2V5) + (add_net +3V3) + (add_net +5V) + (add_net BOOT_MODE0_CON) + (add_net ENET_LED_LINK) + (add_net ENET_LED_RX) + (add_net GND) + (add_net HDMI_CEC_IN) + (add_net HDMI_CEC_STBY) + (add_net HDMI_CLK_N) + (add_net HDMI_CLK_P) + (add_net HDMI_D0_N) + (add_net HDMI_D0_P) + (add_net HDMI_D1_N) + (add_net HDMI_D1_P) + (add_net HDMI_D2_N) + (add_net HDMI_D2_P) + (add_net HDMI_HPD) + (add_net I2C1_SCL) + (add_net I2C1_SDA) + (add_net I2C2_SCL) + (add_net I2C2_SDA) + (add_net I2C3_SCL) + (add_net I2C3_SDA) + (add_net LVDS0_CABC) + (add_net LVDS0_CLK_N) + (add_net LVDS0_CLK_P) + (add_net LVDS0_PWM) + (add_net LVDS0_TX0_N) + (add_net LVDS0_TX0_P) + (add_net LVDS0_TX1_N) + (add_net LVDS0_TX1_P) + (add_net LVDS0_TX2_N) + (add_net LVDS0_TX2_P) + (add_net LVDS0_TX3_N) + (add_net LVDS0_TX3_P) + (add_net "Net-(C10-Pad1)") + (add_net "Net-(C14-Pad1)") + (add_net "Net-(C14-Pad2)") + (add_net "Net-(C15-Pad1)") + (add_net "Net-(C15-Pad2)") + (add_net "Net-(C16-Pad1)") + (add_net "Net-(C16-Pad2)") + (add_net "Net-(C17-Pad1)") + (add_net "Net-(C17-Pad2)") + (add_net "Net-(J1-Pad100)") + (add_net "Net-(J1-Pad43)") + (add_net "Net-(J1-Pad45)") + (add_net "Net-(J1-Pad47)") + (add_net "Net-(J1-Pad48)") + (add_net "Net-(J1-Pad50)") + (add_net "Net-(J1-Pad51)") + (add_net "Net-(J1-Pad52)") + (add_net "Net-(J1-Pad53)") + (add_net "Net-(J1-Pad54)") + (add_net "Net-(J1-Pad55)") + (add_net "Net-(J1-Pad57)") + (add_net "Net-(J1-Pad58)") + (add_net "Net-(J1-Pad60)") + (add_net "Net-(J1-Pad61)") + (add_net "Net-(J1-Pad62)") + (add_net "Net-(J1-Pad63)") + (add_net "Net-(J1-Pad64)") + (add_net "Net-(J1-Pad65)") + (add_net "Net-(J1-Pad67)") + (add_net "Net-(J1-Pad68)") + (add_net "Net-(J1-Pad69)") + (add_net "Net-(J1-Pad70)") + (add_net "Net-(J1-Pad71)") + (add_net "Net-(J1-Pad72)") + (add_net "Net-(J1-Pad73)") + (add_net "Net-(J1-Pad74)") + (add_net "Net-(J1-Pad75)") + (add_net "Net-(J1-Pad91)") + (add_net "Net-(J1-Pad93)") + (add_net "Net-(J1-Pad97)") + (add_net "Net-(J1-Pad98)") + (add_net "Net-(J1-Pad99)") + (add_net "Net-(J10-Pad1)") + (add_net "Net-(J11-Pad1)") + (add_net "Net-(J13-Pad14)") + (add_net "Net-(J13-Pad18)") + (add_net "Net-(J14-Pad12)") + (add_net "Net-(J14-Pad16)") + (add_net "Net-(J2-Pad1)") + (add_net "Net-(J2-Pad10)") + (add_net "Net-(J2-Pad100)") + (add_net "Net-(J2-Pad13)") + (add_net "Net-(J2-Pad14)") + (add_net "Net-(J2-Pad15)") + (add_net "Net-(J2-Pad16)") + (add_net "Net-(J2-Pad17)") + (add_net "Net-(J2-Pad18)") + (add_net "Net-(J2-Pad19)") + (add_net "Net-(J2-Pad20)") + (add_net "Net-(J2-Pad22)") + (add_net "Net-(J2-Pad23)") + (add_net "Net-(J2-Pad25)") + (add_net "Net-(J2-Pad27)") + (add_net "Net-(J2-Pad29)") + (add_net "Net-(J2-Pad30)") + (add_net "Net-(J2-Pad32)") + (add_net "Net-(J2-Pad58)") + (add_net "Net-(J2-Pad60)") + (add_net "Net-(J2-Pad61)") + (add_net "Net-(J2-Pad62)") + (add_net "Net-(J2-Pad63)") + (add_net "Net-(J2-Pad64)") + (add_net "Net-(J2-Pad68)") + (add_net "Net-(J2-Pad86)") + (add_net "Net-(J2-Pad88)") + (add_net "Net-(J2-Pad91)") + (add_net "Net-(J2-Pad92)") + (add_net "Net-(J2-Pad93)") + (add_net "Net-(J2-Pad94)") + (add_net "Net-(J2-Pad96)") + (add_net "Net-(J2-Pad97)") + (add_net "Net-(J2-Pad98)") + (add_net "Net-(J2-Pad99)") + (add_net "Net-(J3-Pad100)") + (add_net "Net-(J3-Pad13)") + (add_net "Net-(J3-Pad15)") + (add_net "Net-(J3-Pad17)") + (add_net "Net-(J3-Pad18)") + (add_net "Net-(J3-Pad19)") + (add_net "Net-(J3-Pad21)") + (add_net "Net-(J3-Pad22)") + (add_net "Net-(J3-Pad23)") + (add_net "Net-(J3-Pad24)") + (add_net "Net-(J3-Pad26)") + (add_net "Net-(J3-Pad27)") + (add_net "Net-(J3-Pad29)") + (add_net "Net-(J3-Pad30)") + (add_net "Net-(J3-Pad31)") + (add_net "Net-(J3-Pad32)") + (add_net "Net-(J3-Pad33)") + (add_net "Net-(J3-Pad34)") + (add_net "Net-(J3-Pad36)") + (add_net "Net-(J3-Pad37)") + (add_net "Net-(J3-Pad39)") + (add_net "Net-(J3-Pad40)") + (add_net "Net-(J3-Pad41)") + (add_net "Net-(J3-Pad42)") + (add_net "Net-(J3-Pad43)") + (add_net "Net-(J3-Pad44)") + (add_net "Net-(J3-Pad46)") + (add_net "Net-(J3-Pad47)") + (add_net "Net-(J3-Pad49)") + (add_net "Net-(J3-Pad50)") + (add_net "Net-(J3-Pad51)") + (add_net "Net-(J3-Pad52)") + (add_net "Net-(J3-Pad53)") + (add_net "Net-(J3-Pad54)") + (add_net "Net-(J3-Pad56)") + (add_net "Net-(J3-Pad57)") + (add_net "Net-(J3-Pad59)") + (add_net "Net-(J3-Pad60)") + (add_net "Net-(J3-Pad61)") + (add_net "Net-(J3-Pad62)") + (add_net "Net-(J3-Pad63)") + (add_net "Net-(J3-Pad64)") + (add_net "Net-(J3-Pad66)") + (add_net "Net-(J3-Pad70)") + (add_net "Net-(J3-Pad71)") + (add_net "Net-(J3-Pad72)") + (add_net "Net-(J3-Pad73)") + (add_net "Net-(J3-Pad74)") + (add_net "Net-(J3-Pad75)") + (add_net "Net-(J3-Pad76)") + (add_net "Net-(J3-Pad77)") + (add_net "Net-(J3-Pad78)") + (add_net "Net-(J3-Pad93)") + (add_net "Net-(J3-Pad94)") + (add_net "Net-(J3-Pad95)") + (add_net "Net-(J3-Pad96)") + (add_net "Net-(J3-Pad97)") + (add_net "Net-(J3-Pad98)") + (add_net "Net-(J3-Pad99)") + (add_net "Net-(J4-Pad9)") + (add_net "Net-(J5-Pad1)") + (add_net "Net-(J5-Pad2)") + (add_net "Net-(J5-Pad3)") + (add_net "Net-(J6-Pad1)") + (add_net "Net-(J6-Pad2)") + (add_net "Net-(J6-Pad3)") + (add_net "Net-(J7-Pad1)") + (add_net "Net-(J7-Pad2)") + (add_net "Net-(J7-Pad3)") + (add_net "Net-(J9-Pad1)") + (add_net "Net-(J9-Pad2)") + (add_net "Net-(J9-Pad3)") + (add_net "Net-(P1-PadP11)") + (add_net "Net-(P1-PadP13)") + (add_net "Net-(P1-PadP14)") + (add_net "Net-(P1-PadP15)") + (add_net "Net-(P2-Pad15)") + (add_net "Net-(P2-PadSH1)") + (add_net "Net-(U1-Pad10)") + (add_net "Net-(U1-Pad12)") + (add_net "Net-(U1-Pad14)") + (add_net "Net-(U1-Pad16)") + (add_net "Net-(U1-Pad17)") + (add_net "Net-(U1-Pad19)") + (add_net "Net-(U1-Pad20)") + (add_net "Net-(U1-Pad22)") + (add_net "Net-(U1-Pad3)") + (add_net "Net-(U1-Pad30)") + (add_net "Net-(U1-Pad32)") + (add_net "Net-(U1-Pad36)") + (add_net "Net-(U1-Pad38)") + (add_net "Net-(U1-Pad42)") + (add_net "Net-(U1-Pad44)") + (add_net "Net-(U1-Pad45)") + (add_net "Net-(U1-Pad46)") + (add_net "Net-(U1-Pad47)") + (add_net "Net-(U1-Pad49)") + (add_net "Net-(U1-Pad5)") + (add_net "Net-(U1-Pad51)") + (add_net "Net-(U1-Pad7)") + (add_net "Net-(U1-Pad8)") + (add_net PCIE_CLK_N) + (add_net PCIE_CLK_P) + (add_net PCIE_RX_N) + (add_net PCIE_RX_P) + (add_net PCIE_TX_N) + (add_net PCIE_TX_P) + (add_net PCIE_WAKE) + (add_net POK_1V5) + (add_net POK_3V3) + (add_net POK_5V) + (add_net RSTINn) + (add_net RSTOUTn) + (add_net SATA_RX_N) + (add_net SATA_RX_P) + (add_net SATA_TX_N) + (add_net SATA_TX_P) + (add_net SD1_CD) + (add_net SD1_CLK) + (add_net SD1_CMD) + (add_net SD1_DATA0) + (add_net SD1_DATA1) + (add_net SD1_DATA2) + (add_net SD1_DATA3) + (add_net SD1_DATA4) + (add_net SD1_DATA5) + (add_net SD1_DATA6) + (add_net SD1_DATA7) + (add_net SD1_WP) + (add_net SD3_CD) + (add_net SD3_CLK) + (add_net SD3_CMD) + (add_net SD3_DATA0) + (add_net SD3_DATA1) + (add_net SD3_DATA2) + (add_net SD3_DATA3) + (add_net SD3_WP) + (add_net TRD0_N) + (add_net TRD0_P) + (add_net TRD1_N) + (add_net TRD1_P) + (add_net TRD2_N) + (add_net TRD2_P) + (add_net TRD3_N) + (add_net TRD3_P) + (add_net UART1_CTS) + (add_net UART1_RTS) + (add_net UART1_RXD) + (add_net UART1_TXD) + (add_net USB0_N) + (add_net USB0_P) + (add_net USB0_PWR_EN) + (add_net USB1_N) + (add_net USB1_P) + (add_net USB1_PWR_EN) + (add_net USB_OC) + ) + + (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 59F36705) + (at 76.28 88 90) + (descr "Capacitor SMD 0603, hand soldering") + (tags "capacitor 0603") + (path /59F3B156/59F3CE15) + (attr smd) + (fp_text reference C1 (at 0 -1.25 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 100nF (at 0 1.5 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.25 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.95 0 90) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask) + (net 1 +3V3)) + (pad 2 smd rect (at 0.95 0 90) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 59F3670B) + (at 76.45 75.75 90) + (descr "Capacitor SMD 0603, hand soldering") + (tags "capacitor 0603") + (path /59F3D3B4/59F3F360) + (attr smd) + (fp_text reference C2 (at 0 -1.25 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 100nF (at 0 1.5 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.25 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.95 0 90) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask) + (net 1 +3V3)) + (pad 2 smd rect (at 0.95 0 90) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 59F36711) + (at 94.7 73.39 90) + (descr "Capacitor SMD 0603, hand soldering") + (tags "capacitor 0603") + (path /59F3D3B4/59F3F41B) + (attr smd) + (fp_text reference C3 (at 0 -1.25 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 100nF (at 0 1.5 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.25 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.95 0 90) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask) + (net 1 +3V3)) + (pad 2 smd rect (at 0.95 0 90) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 59F36717) + (at 82.85 96.07) + (descr "Capacitor SMD 0603, hand soldering") + (tags "capacitor 0603") + (path /59F3D3B4/59F3F732) + (attr smd) + (fp_text reference C4 (at 0 -1.25) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 100nF (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.25) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.95 0) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask) + (net 1 +3V3)) + (pad 2 smd rect (at 0.95 0) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 59F3671D) + (at 76.35 61.45 270) + (descr "Capacitor SMD 0603, hand soldering") + (tags "capacitor 0603") + (path /59F3D3B4/59F3F84A) + (attr smd) + (fp_text reference C5 (at 0 -1.25 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 100nF (at 0 1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.25 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.95 0 270) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask) + (net 1 +3V3)) + (pad 2 smd rect (at 0.95 0 270) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 59F36723) + (at 93.58 67.96 90) + (descr "Capacitor SMD 0603, hand soldering") + (tags "capacitor 0603") + (path /59F3D3B4/59F3F8A4) + (attr smd) + (fp_text reference C6 (at 0 -1.25 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 100nF (at 0 1.5 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.25 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.95 0 90) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask) + (net 1 +3V3)) + (pad 2 smd rect (at 0.95 0 90) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 59F36729) + (at 77.48 72.16 180) + (descr "Capacitor SMD 0603, hand soldering") + (tags "capacitor 0603") + (path /59F3D3B4/59F3EE50) + (attr smd) + (fp_text reference C7 (at 0 -1.25 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 100nF (at 0 1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.25 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.95 0 180) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask) + (net 3 +1V5)) + (pad 2 smd rect (at 0.95 0 180) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 59F3672F) + (at 76.35 65.46 90) + (descr "Capacitor SMD 0603, hand soldering") + (tags "capacitor 0603") + (path /59F3D3B4/59F3EFC2) + (attr smd) + (fp_text reference C8 (at 0 -1.25 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 100nF (at 0 1.5 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.25 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.95 0 90) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask) + (net 3 +1V5)) + (pad 2 smd rect (at 0.95 0 90) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 59F36735) + (at 76.33 83.02 90) + (descr "Capacitor SMD 0603, hand soldering") + (tags "capacitor 0603") + (path /59F3D3B4/59F3EFDF) + (attr smd) + (fp_text reference C9 (at 0 -1.25 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 100nF (at 0 1.5 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.25 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.95 0 90) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask) + (net 3 +1V5)) + (pad 2 smd rect (at 0.95 0 90) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 59F3673B) + (at 71.75 88.01 90) + (descr "Capacitor SMD 0603, hand soldering") + (tags "capacitor 0603") + (path /59F3D3B4/59F3FCE3) + (attr smd) + (fp_text reference C10 (at 0 -1.25 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 4.7uF (at 0 1.5 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.25 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.95 0 90) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask) + (net 4 "Net-(C10-Pad1)")) + (pad 2 smd rect (at 0.95 0 90) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Connect:microSD_Card_Receptacle_Wuerth_693072010801 (layer F.Cu) (tedit 597745A9) (tstamp 59F36883) + (at 126 104 270) + (descr http://katalog.we-online.de/em/datasheet/693072010801.pdf) + (tags "Micro SD Wuerth Wurth Würth") + (path /59F3B156/59F3B502) + (attr smd) + (fp_text reference J4 (at 0 -9.14 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value Micro_SD_Card_Det (at 0 8.07 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -6.8 6.85) (end 6.8 6.85) (layer F.Fab) (width 0.1)) + (fp_line (start -6.8 -6.85) (end -6.8 6.85) (layer F.Fab) (width 0.1)) + (fp_line (start 6.8 -6.85) (end -6.8 -6.85) (layer F.Fab) (width 0.1)) + (fp_line (start 6.8 6.85) (end 6.8 -6.85) (layer F.Fab) (width 0.1)) + (fp_line (start 5 -7.76) (end 5.5 -7.86) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.7 -7.46) (end 5 -7.76) (layer F.SilkS) (width 0.12)) + (fp_line (start 2.2 -7.26) (end 3.7 -7.46) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.9 -7.16) (end 2.2 -7.26) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.9 -7.16) (end 0.9 -7.16) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.2 -7.26) (end -0.9 -7.16) (layer F.SilkS) (width 0.12)) + (fp_line (start -3 -7.36) (end -2.2 -7.26) (layer F.SilkS) (width 0.12)) + (fp_line (start -4.7 -7.66) (end -3 -7.36) (layer F.SilkS) (width 0.12)) + (fp_line (start -5.5 -7.86) (end -4.7 -7.66) (layer F.SilkS) (width 0.12)) + (fp_line (start -5 -8.46) (end 5 -8.46) (layer F.SilkS) (width 0.12)) + (fp_arc (start 5 -7.96) (end 5 -8.46) (angle 90) (layer F.SilkS) (width 0.12)) + (fp_line (start -5.5 -6.96) (end -5.5 -7.96) (layer F.SilkS) (width 0.12)) + (fp_line (start 5.5 -6.96) (end 5.5 -7.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -6.91 -6.96) (end 6.91 -6.96) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.91 6.96) (end -6.91 6.96) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.91 4.26) (end 6.91 6.96) (layer F.SilkS) (width 0.12)) + (fp_arc (start -5 -7.96) (end -5.5 -7.96) (angle 90) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.91 -6.96) (end 6.91 -6.56) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.91 -4.04) (end 6.91 1.74) (layer F.SilkS) (width 0.12)) + (fp_line (start -6.91 4.26) (end -6.91 6.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -6.91 -4.04) (end -6.91 1.74) (layer F.SilkS) (width 0.12)) + (fp_line (start -6.91 -6.96) (end -6.91 -6.56) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.08 -7.35) (end 8.08 7.35) (layer F.CrtYd) (width 0.05)) + (fp_line (start 8.08 -7.35) (end -8.08 -7.35) (layer F.CrtYd) (width 0.05)) + (fp_line (start 8.08 7.35) (end -8.08 7.35) (layer F.CrtYd) (width 0.05)) + (fp_line (start -8.08 -7.35) (end -8.08 7.35) (layer F.CrtYd) (width 0.05)) + (pad 9 smd rect (at 6.875 -5.3 270) (size 1.45 2) (layers F.Cu F.Paste F.Mask) + (net 193 "Net-(J4-Pad9)")) + (pad 9 smd rect (at -6.875 -5.3 270) (size 1.45 2) (layers F.Cu F.Paste F.Mask) + (net 193 "Net-(J4-Pad9)")) + (pad 9 smd rect (at -6.875 3 270) (size 1.45 2) (layers F.Cu F.Paste F.Mask) + (net 193 "Net-(J4-Pad9)")) + (pad 9 smd rect (at 6.875 3 270) (size 1.45 2) (layers F.Cu F.Paste F.Mask) + (net 193 "Net-(J4-Pad9)")) + (pad 8 smd rect (at 4.5 -2.7 270) (size 0.8 1.5) (layers F.Cu F.Paste F.Mask) + (net 81 SD3_DATA1)) + (pad 7 smd rect (at 3.4 -2.7 270) (size 0.8 1.5) (layers F.Cu F.Paste F.Mask) + (net 79 SD3_DATA0)) + (pad 6 smd rect (at 2.3 -2.7 270) (size 0.8 1.5) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 5 smd rect (at 1.2 -2.7 270) (size 0.8 1.5) (layers F.Cu F.Paste F.Mask) + (net 75 SD3_CLK)) + (pad 4 smd rect (at 0.1 -2.7 270) (size 0.8 1.5) (layers F.Cu F.Paste F.Mask) + (net 1 +3V3)) + (pad 3 smd rect (at -1 -2.7 270) (size 0.8 1.5) (layers F.Cu F.Paste F.Mask) + (net 77 SD3_CMD)) + (pad 2 smd rect (at -2.1 -2.7 270) (size 0.8 1.5) (layers F.Cu F.Paste F.Mask) + (net 83 SD3_DATA3)) + (pad 1 smd rect (at -3.2 -2.7 270) (size 0.8 1.5) (layers F.Cu F.Paste F.Mask) + (net 82 SD3_DATA2)) + (model ${KISYS3DMOD}/Connectors.3dshapes/microSD_Card_Receptacle_Wuerth_693072010801.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603_HandSoldering (layer F.Cu) (tedit 58E0A804) (tstamp 59F368A5) + (at 124.06 78.91 90) + (descr "Resistor SMD 0603, hand soldering") + (tags "resistor 0603") + (path /59F3B156/59F3C913) + (attr smd) + (fp_text reference R1 (at 0 -1.45 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 0 (at 0 1.55 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.96 -0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.96 -0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.95 0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.95 0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -1.1 0 90) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask) + (net 73 SD3_WP)) + (pad 2 smd rect (at 1.1 0 90) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module mpcie:mpcie-socket (layer F.Cu) (tedit 541E6573) (tstamp 59F368E5) + (at 86.1 89.3 90) + (tags "mpci, pci, pci express") + (path /59F3D3B4/59F3D5C6) + (fp_text reference U1 (at 30.45 2.45 180) (layer F.SilkS) + (effects (font (thickness 0.15))) + ) + (fp_text value MPCIE-Socket (at 14.3 -8.1 270) (layer F.SilkS) + (effects (font (thickness 0.15))) + ) + (fp_line (start -2.5 -50.95) (end 3.3 -50.95) (layer Dwgs.User) (width 0.05)) + (fp_line (start 3.3 -50.95) (end 3.3 -45.15) (layer Dwgs.User) (width 0.05)) + (fp_line (start -2.5 -45.15) (end 3.3 -45.15) (layer Dwgs.User) (width 0.05)) + (fp_line (start -2.5 -50.95) (end -2.5 -45.15) (layer Dwgs.User) (width 0.05)) + (fp_line (start 21.7 -50.95) (end 21.7 -45.15) (layer Dwgs.User) (width 0.05)) + (fp_line (start 21.7 -45.15) (end 27.5 -45.15) (layer Dwgs.User) (width 0.05)) + (fp_line (start 27.5 -50.95) (end 27.5 -45.15) (layer Dwgs.User) (width 0.05)) + (fp_line (start 21.7 -50.95) (end 27.5 -50.95) (layer Dwgs.User) (width 0.05)) + (fp_line (start 3.3 -22.7) (end 3.3 -26.8) (layer Dwgs.User) (width 0.05)) + (fp_line (start -2.5 -21) (end 1.6 -21) (layer Dwgs.User) (width 0.05)) + (fp_line (start -2.5 -26.8) (end -2.5 -21) (layer Dwgs.User) (width 0.05)) + (fp_line (start 3.3 -26.8) (end -2.5 -26.8) (layer Dwgs.User) (width 0.05)) + (fp_line (start 3.3 -22.7) (end 1.6 -21) (layer Dwgs.User) (width 0.05)) + (fp_line (start 21.7 -22.7) (end 23.4 -21) (layer Dwgs.User) (width 0.05)) + (fp_line (start -3.55 5.75) (end 28.55 5.75) (layer F.SilkS) (width 0.5)) + (fp_line (start 28.95 5.35) (end 28.95 -5.35) (layer F.SilkS) (width 0.5)) + (fp_line (start 28.55 -5.75) (end -3.55 -5.75) (layer F.SilkS) (width 0.5)) + (fp_line (start -3.95 -5.35) (end -3.95 5.35) (layer F.SilkS) (width 0.5)) + (fp_line (start 8.65 4.1) (end 8.65 -4.1) (layer Dwgs.User) (width 0.01)) + (fp_line (start 21.7 -26.8) (end 27.5 -26.8) (layer Dwgs.User) (width 0.05)) + (fp_line (start 27.5 -26.8) (end 27.5 -21) (layer Dwgs.User) (width 0.05)) + (fp_line (start 27.5 -21) (end 23.4 -21) (layer Dwgs.User) (width 0.05)) + (fp_line (start 21.7 -22.7) (end 21.7 -26.8) (layer Dwgs.User) (width 0.05)) + (fp_line (start 0 -50.95) (end 0 5.1) (layer Dwgs.User) (width 0.01)) + (fp_line (start -3.3 0) (end 28.225 0) (layer Dwgs.User) (width 0.01)) + (pad "" connect circle (at 25 0 90) (size 1.05 1.05) (layers F.SilkS Dwgs.User)) + (pad "" connect circle (at 0 0 90) (size 1.6 1.6) (layers F.SilkS Dwgs.User)) + (pad "" smd rect (at -2.15 3.5 90) (size 2.3 3.2) (layers F.Cu F.Paste F.Mask)) + (pad "" smd rect (at 27.15 3.5 90) (size 2.3 3.2) (layers F.Cu F.Paste F.Mask)) + (pad "" connect rect (at 0.4 -23.9 90) (size 5.8 5.8) (layers F.SilkS)) + (pad "" connect rect (at 0.4 -48.05 90) (size 5.8 5.8) (layers F.SilkS)) + (pad "" connect rect (at 24.6 -48.05 90) (size 5.8 5.8) (layers F.SilkS)) + (pad "" connect rect (at 24.6 -23.9 90) (size 5.8 5.8) (layers F.SilkS)) + (pad 1 smd rect (at 0.7 4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 102 PCIE_WAKE)) + (pad 2 smd rect (at 1.1 -4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 1 +3V3)) + (pad 3 smd rect (at 1.5 4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 198 "Net-(U1-Pad3)")) + (pad 4 smd rect (at 1.9 -4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 5 smd rect (at 2.3 4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 199 "Net-(U1-Pad5)")) + (pad 6 smd rect (at 2.7 -4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 3 +1V5)) + (pad 7 smd rect (at 3.1 4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 200 "Net-(U1-Pad7)")) + (pad 8 smd rect (at 3.5 -4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 201 "Net-(U1-Pad8)")) + (pad 9 smd rect (at 3.9 4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 10 smd rect (at 4.3 -4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 202 "Net-(U1-Pad10)")) + (pad 11 smd rect (at 4.7 4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 104 PCIE_CLK_N)) + (pad 12 smd rect (at 5.1 -4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 203 "Net-(U1-Pad12)")) + (pad 13 smd rect (at 5.5 4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 106 PCIE_CLK_P)) + (pad 14 smd rect (at 5.9 -4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 204 "Net-(U1-Pad14)")) + (pad 15 smd rect (at 6.3 4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 16 smd rect (at 6.7 -4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 205 "Net-(U1-Pad16)")) + (pad 17 smd rect (at 10.3 4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 206 "Net-(U1-Pad17)")) + (pad 18 smd rect (at 10.7 -4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 19 smd rect (at 11.1 4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 207 "Net-(U1-Pad19)")) + (pad 20 smd rect (at 11.5 -4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 208 "Net-(U1-Pad20)")) + (pad 21 smd rect (at 11.9 4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 22 smd rect (at 12.3 -4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 209 "Net-(U1-Pad22)")) + (pad 23 smd rect (at 12.7 4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 107 PCIE_RX_N)) + (pad 24 smd rect (at 13.1 -4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 1 +3V3)) + (pad 25 smd rect (at 13.5 4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 109 PCIE_RX_P)) + (pad 26 smd rect (at 13.9 -4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 27 smd rect (at 14.3 4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 28 smd rect (at 14.7 -4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 3 +1V5)) + (pad 29 smd rect (at 15.1 4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 30 smd rect (at 15.5 -4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 210 "Net-(U1-Pad30)")) + (pad 31 smd rect (at 15.9 4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 103 PCIE_TX_N)) + (pad 32 smd rect (at 16.3 -4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 211 "Net-(U1-Pad32)")) + (pad 33 smd rect (at 16.7 4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 105 PCIE_TX_P)) + (pad 34 smd rect (at 17.1 -4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 35 smd rect (at 17.5 4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 36 smd rect (at 17.9 -4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 212 "Net-(U1-Pad36)")) + (pad 37 smd rect (at 18.3 4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 38 smd rect (at 18.7 -4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 213 "Net-(U1-Pad38)")) + (pad 39 smd rect (at 19.1 4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 1 +3V3)) + (pad 40 smd rect (at 19.5 -4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 41 smd rect (at 19.9 4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 1 +3V3)) + (pad 42 smd rect (at 20.3 -4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 214 "Net-(U1-Pad42)")) + (pad 43 smd rect (at 20.7 4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 44 smd rect (at 21.1 -4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 215 "Net-(U1-Pad44)")) + (pad 45 smd rect (at 21.5 4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 216 "Net-(U1-Pad45)")) + (pad 46 smd rect (at 21.9 -4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 217 "Net-(U1-Pad46)")) + (pad 47 smd rect (at 22.3 4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 218 "Net-(U1-Pad47)")) + (pad 48 smd rect (at 22.7 -4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 3 +1V5)) + (pad 49 smd rect (at 23.1 4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 219 "Net-(U1-Pad49)")) + (pad 50 smd rect (at 23.5 -4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 51 smd rect (at 23.9 4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 220 "Net-(U1-Pad51)")) + (pad 52 smd rect (at 24.3 -4.1 90) (size 0.6 2) (layers F.Cu F.Paste F.Mask) + (net 1 +3V3)) + ) + + (module "df40c-100ds-0:HRS_DF40C-100DS-0.4V(51)" (layer F.Cu) (tedit 5A02076E) (tstamp 5A0209FC) + (at 117.5 62.9) + (path /59F31EE6) + (attr smd) + (fp_text reference J1 (at -12.6751 0.53561 90) (layer F.SilkS) + (effects (font (size 0.641451 0.641451) (thickness 0.05))) + ) + (fp_text value TinyRex-J1-DF40C (at 12.5822 0.128296 90) (layer F.SilkS) hide + (effects (font (size 0.641458 0.641458) (thickness 0.05))) + ) + (fp_line (start -11.3 1.44) (end -11.3 -1.44) (layer F.SilkS) (width 0.127)) + (fp_line (start -11.3 -1.44) (end 11.3 -1.44) (layer F.SilkS) (width 0.127)) + (fp_line (start 11.3 -1.44) (end 11.3 1.44) (layer F.SilkS) (width 0.127)) + (fp_line (start 11.3 1.44) (end -11.3 1.44) (layer F.SilkS) (width 0.127)) + (fp_line (start -10.25 1.75) (end -10.25 2.25) (layer Dwgs.User) (width 0.127)) + (fp_line (start -10.25 2.25) (end 10.25 2.25) (layer Dwgs.User) (width 0.127)) + (fp_line (start 10.25 2.25) (end 10.25 1.75) (layer Dwgs.User) (width 0.127)) + (fp_line (start 10.25 1.75) (end 11.75 1.75) (layer Dwgs.User) (width 0.127)) + (fp_line (start 11.75 1.75) (end 11.75 -1.75) (layer Dwgs.User) (width 0.127)) + (fp_line (start 11.75 -1.75) (end 10.25 -1.75) (layer Dwgs.User) (width 0.127)) + (fp_line (start 10.25 -1.75) (end 10.25 -2.25) (layer Dwgs.User) (width 0.127)) + (fp_line (start 10.25 -2.25) (end -10.25 -2.25) (layer Dwgs.User) (width 0.127)) + (fp_line (start -10.25 -2.25) (end -10.25 -1.75) (layer Dwgs.User) (width 0.127)) + (fp_line (start -10.25 -1.75) (end -11.75 -1.75) (layer Dwgs.User) (width 0.127)) + (fp_line (start -11.75 -1.75) (end -11.75 1.75) (layer Dwgs.User) (width 0.127)) + (fp_line (start -11.75 1.75) (end -10.25 1.75) (layer Dwgs.User) (width 0.127)) + (fp_line (start -10.08 1.44) (end -11.3 1.44) (layer Dwgs.User) (width 0.127)) + (fp_line (start -11.3 1.44) (end -11.3 -1.44) (layer Dwgs.User) (width 0.127)) + (fp_line (start -11.3 -1.44) (end -10.08 -1.44) (layer Dwgs.User) (width 0.127)) + (fp_line (start 10.08 -1.44) (end 11.3 -1.44) (layer Dwgs.User) (width 0.127)) + (fp_line (start 11.3 -1.44) (end 11.3 1.44) (layer Dwgs.User) (width 0.127)) + (fp_line (start 11.3 1.44) (end 10.08 1.44) (layer Dwgs.User) (width 0.127)) + (fp_line (start -10.16 1.9053) (end -10.1494 1.9053) (layer Dwgs.User) (width 0.25)) + (fp_line (start -10.1494 1.9053) (end -10.1494 1.9106) (layer Dwgs.User) (width 0.25)) + (fp_poly (pts (xy -9.90572 -1.19) (xy -9.7 -1.19) (xy -9.7 -0.750434) (xy -9.90572 -0.750434)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -9.52655 -1.19) (xy -9.3 -1.19) (xy -9.3 -0.752096) (xy -9.52655 -0.752096)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -9.11431 -1.19) (xy -8.9 -1.19) (xy -8.9 -0.75118) (xy -9.11431 -0.75118)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -8.70461 -1.19) (xy -8.5 -1.19) (xy -8.5 -0.750398) (xy -8.70461 -0.750398)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -8.5 1.19202) (xy -8.71476 1.19202) (xy -8.71476 0.75) (xy -8.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -8.9 1.19334) (xy -9.12557 1.19334) (xy -9.12557 0.75) (xy -8.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -9.3 1.19111) (xy -9.50889 1.19111) (xy -9.50889 0.75) (xy -9.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -9.7 1.19152) (xy -9.91267 1.19152) (xy -9.91267 0.75) (xy -9.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -8.3289 -1.19) (xy -8.1 -1.19) (xy -8.1 -0.752611) (xy -8.3289 -0.752611)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -7.91836 -1.19) (xy -7.7 -1.19) (xy -7.7 -0.751743) (xy -7.91836 -0.751743)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -7.50738 -1.19) (xy -7.3 -1.19) (xy -7.3 -0.750738) (xy -7.50738 -0.750738)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -7.10298 -1.19) (xy -6.9 -1.19) (xy -6.9 -0.750314) (xy -7.10298 -0.750314)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -6.9 1.1924) (xy -7.11428 1.1924) (xy -7.11428 0.75) (xy -6.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -7.3 1.192) (xy -7.51258 1.192) (xy -7.51258 0.75) (xy -7.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -7.7 1.19469) (xy -7.93115 1.19469) (xy -7.93115 0.75) (xy -7.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -8.1 1.19295) (xy -8.32057 1.19295) (xy -8.32057 0.75) (xy -8.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -5.3 1.19397) (xy -5.51834 1.19397) (xy -5.51834 0.75) (xy -5.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -5.7 1.19281) (xy -5.91394 1.19281) (xy -5.91394 0.75) (xy -5.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -6.1 1.19176) (xy -6.30931 1.19176) (xy -6.30931 0.75) (xy -6.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -6.5 1.19054) (xy -6.703 1.19054) (xy -6.703 0.75) (xy -6.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -3.7 1.1942) (xy -3.91375 1.1942) (xy -3.91375 0.75) (xy -3.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -4.1 1.19386) (xy -4.31394 1.19386) (xy -4.31394 0.75) (xy -4.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -4.5 1.19319) (xy -4.7126 1.19319) (xy -4.7126 0.75) (xy -4.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -4.9 1.19173) (xy -5.1074 1.19173) (xy -5.1074 0.75) (xy -4.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -3.3 1.19192) (xy -3.50564 1.19192) (xy -3.50564 0.75) (xy -3.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -1.7 1.19197) (xy -1.90313 1.19197) (xy -1.90313 0.75) (xy -1.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -2.1 1.19339) (xy -2.30656 1.19339) (xy -2.30656 0.75) (xy -2.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -2.5 1.19033) (xy -2.70075 1.19033) (xy -2.70075 0.75) (xy -2.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -2.9 1.1936) (xy -3.10937 1.1936) (xy -3.10937 0.75) (xy -2.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -0.1 1.19135) (xy -0.300342 1.19135) (xy -0.300342 0.75) (xy -0.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -0.5 1.19174) (xy -0.701024 1.19174) (xy -0.701024 0.75) (xy -0.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -0.9 1.19284) (xy -1.10262 1.19284) (xy -1.10262 0.75) (xy -0.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -1.3 1.19149) (xy -1.50188 1.19149) (xy -1.50188 0.75) (xy -1.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 1.5 1.19047) (xy 1.30052 1.19047) (xy 1.30052 0.75) (xy 1.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 1.1 1.19316) (xy 0.902388 1.19316) (xy 0.902388 0.75) (xy 1.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 0.7 1.19189) (xy 0.500794 1.19189) (xy 0.500794 0.75) (xy 0.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 0.3 1.19138) (xy 0.100115 1.19138) (xy 0.100115 0.75) (xy 0.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 3.1 1.19224) (xy 2.90546 1.19224) (xy 2.90546 0.75) (xy 3.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 2.7 1.19091) (xy 2.50191 1.19091) (xy 2.50191 0.75) (xy 2.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 2.3 1.19238) (xy 2.10421 1.19238) (xy 2.10421 0.75) (xy 2.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 1.9 1.19064) (xy 1.70092 1.19064) (xy 1.70092 0.75) (xy 1.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 3.5 1.19108) (xy 3.30299 1.19108) (xy 3.30299 0.75) (xy 3.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 5.1 1.19217) (xy 4.90893 1.19217) (xy 4.90893 0.75) (xy 5.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 4.7 1.1905) (xy 4.50189 1.1905) (xy 4.50189 0.75) (xy 4.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 4.3 1.19188) (xy 4.10647 1.19188) (xy 4.10647 0.75) (xy 4.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 3.9 1.19179) (xy 3.70555 1.19179) (xy 3.70555 0.75) (xy 3.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 6.7 1.19118) (xy 6.50644 1.19118) (xy 6.50644 0.75) (xy 6.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 6.3 1.19264) (xy 6.11352 1.19264) (xy 6.11352 0.75) (xy 6.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 5.9 1.1906) (xy 5.70287 1.1906) (xy 5.70287 0.75) (xy 5.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 5.5 1.193) (xy 5.31337 1.193) (xy 5.31337 0.75) (xy 5.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 8.3 1.19223) (xy 8.11513 1.19223) (xy 8.11513 0.75) (xy 8.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 7.9 1.19372) (xy 7.72405 1.19372) (xy 7.72405 0.75) (xy 7.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 7.5 1.19226) (xy 7.31385 1.19226) (xy 7.31385 0.75) (xy 7.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 7.1 1.19361) (xy 6.92093 1.19361) (xy 6.92093 0.75) (xy 7.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 9.9 1.19301) (xy 9.72454 1.19301) (xy 9.72454 0.75) (xy 9.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 9.5 1.19067) (xy 9.3052 1.19067) (xy 9.3052 0.75) (xy 9.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 9.1 1.19188) (xy 8.91403 1.19188) (xy 8.91403 0.75) (xy 9.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 8.7 1.19368) (xy 8.5263 1.19368) (xy 8.5263 0.75) (xy 8.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -1.50393 -1.19) (xy -1.3 -1.19) (xy -1.3 -0.751963) (xy -1.50393 -0.751963)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -1.10231 -1.19) (xy -0.9 -1.19) (xy -0.9 -0.751577) (xy -1.10231 -0.751577)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -0.701468 -1.19) (xy -0.5 -1.19) (xy -0.5 -0.751573) (xy -0.701468 -0.751573)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -0.300549 -1.19) (xy -0.1 -1.19) (xy -0.1 -0.751371) (xy -0.300549 -0.751371)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -3.10489 -1.19) (xy -2.9 -1.19) (xy -2.9 -0.751184) (xy -3.10489 -0.751184)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -2.70464 -1.19) (xy -2.5 -1.19) (xy -2.5 -0.751289) (xy -2.70464 -0.751289)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -2.30545 -1.19) (xy -2.1 -1.19) (xy -2.1 -0.751776) (xy -2.30545 -0.751776)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -1.90357 -1.19) (xy -1.7 -1.19) (xy -1.7 -0.751408) (xy -1.90357 -0.751408)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -4.70449 -1.19) (xy -4.5 -1.19) (xy -4.5 -0.750717) (xy -4.70449 -0.750717)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -4.309 -1.19) (xy -4.1 -1.19) (xy -4.1 -0.751571) (xy -4.309 -0.751571)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -3.90774 -1.19) (xy -3.7 -1.19) (xy -3.7 -0.751489) (xy -3.90774 -0.751489)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -3.50811 -1.19) (xy -3.3 -1.19) (xy -3.3 -0.751739) (xy -3.50811 -0.751739)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -6.31821 -1.19) (xy -6.1 -1.19) (xy -6.1 -0.752167) (xy -6.31821 -0.752167)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -5.91782 -1.19) (xy -5.7 -1.19) (xy -5.7 -0.752265) (xy -5.91782 -0.752265)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -5.50958 -1.19) (xy -5.3 -1.19) (xy -5.3 -0.751306) (xy -5.50958 -0.751306)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -5.10284 -1.19) (xy -4.9 -1.19) (xy -4.9 -0.750418) (xy -5.10284 -0.750418)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -6.71425 -1.19) (xy -6.5 -1.19) (xy -6.5 -0.751596) (xy -6.71425 -0.751596)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 5.31076 -1.19) (xy 5.5 -1.19) (xy 5.5 -0.751523) (xy 5.31076 -0.751523)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 5.70984 -1.19) (xy 5.9 -1.19) (xy 5.9 -0.751295) (xy 5.70984 -0.751295)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 6.10728 -1.19) (xy 6.3 -1.19) (xy 6.3 -0.750895) (xy 6.10728 -0.750895)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 6.51761 -1.19) (xy 6.7 -1.19) (xy 6.7 -0.752032) (xy 6.51761 -0.752032)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 3.70935 -1.19) (xy 3.9 -1.19) (xy 3.9 -0.751895) (xy 3.70935 -0.751895)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 4.10924 -1.19) (xy 4.3 -1.19) (xy 4.3 -0.75169) (xy 4.10924 -0.75169)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 4.51011 -1.19) (xy 4.7 -1.19) (xy 4.7 -0.751684) (xy 4.51011 -0.751684)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 4.90683 -1.19) (xy 5.1 -1.19) (xy 5.1 -0.751046) (xy 4.90683 -0.751046)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 2.10486 -1.19) (xy 2.3 -1.19) (xy 2.3 -0.751734) (xy 2.10486 -0.751734)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 2.50708 -1.19) (xy 2.7 -1.19) (xy 2.7 -0.752123) (xy 2.50708 -0.752123)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 2.90663 -1.19) (xy 3.1 -1.19) (xy 3.1 -0.751716) (xy 2.90663 -0.751716)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 3.30839 -1.19) (xy 3.5 -1.19) (xy 3.5 -0.751908) (xy 3.30839 -0.751908)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 0.501201 -1.19) (xy 0.7 -1.19) (xy 0.7 -0.7518) (xy 0.501201 -0.7518)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 0.901456 -1.19) (xy 1.1 -1.19) (xy 1.1 -0.751214) (xy 0.901456 -0.751214)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 1.30081 -1.19) (xy 1.5 -1.19) (xy 1.5 -0.750468) (xy 1.30081 -0.750468)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 1.70264 -1.19) (xy 1.9 -1.19) (xy 1.9 -0.751164) (xy 1.70264 -0.751164)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 0.10016 -1.19) (xy 0.3 -1.19) (xy 0.3 -0.751204) (xy 0.10016 -0.751204)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 8.90404 -1.19) (xy 9.1 -1.19) (xy 9.1 -0.750341) (xy 8.90404 -0.750341)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 9.32383 -1.19) (xy 9.5 -1.19) (xy 9.5 -0.751921) (xy 9.32383 -0.751921)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 9.72782 -1.19) (xy 9.9 -1.19) (xy 9.9 -0.752151) (xy 9.72782 -0.752151)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 7.32082 -1.19) (xy 7.5 -1.19) (xy 7.5 -0.752139) (xy 7.32082 -0.752139)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 7.70678 -1.19) (xy 7.9 -1.19) (xy 7.9 -0.75066) (xy 7.70678 -0.75066)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 8.10702 -1.19) (xy 8.3 -1.19) (xy 8.3 -0.75065) (xy 8.10702 -0.75065)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 8.51702 -1.19) (xy 8.7 -1.19) (xy 8.7 -0.751502) (xy 8.51702 -0.751502)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 6.9137 -1.19) (xy 7.1 -1.19) (xy 7.1 -0.751489) (xy 6.9137 -0.751489)) (layer Dwgs.User) (width 0.381)) + (pad 1 smd rect (at -9.8 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 5 +5V)) + (pad 2 smd rect (at -9.8 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 5 +5V)) + (pad 3 smd rect (at -9.4 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 5 +5V)) + (pad 4 smd rect (at -9.4 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 5 +5V)) + (pad 5 smd rect (at -9 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 6 smd rect (at -9 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 5 +5V)) + (pad 7 smd rect (at -8.6 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 8 smd rect (at -8.6 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 5 +5V)) + (pad 9 smd rect (at -8.2 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 10 smd rect (at -8.2 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 5 +5V)) + (pad 11 smd rect (at -7.8 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 12 smd rect (at -7.8 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 5 +5V)) + (pad 13 smd rect (at -7.4 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 14 smd rect (at -7.4 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 5 +5V)) + (pad 15 smd rect (at -7 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 16 smd rect (at -7 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 5 +5V)) + (pad 17 smd rect (at -6.6 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 18 smd rect (at -6.6 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 5 +5V)) + (pad 19 smd rect (at -6.2 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 20 smd rect (at -6.2 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 5 +5V)) + (pad 21 smd rect (at -5.8 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 22 smd rect (at -5.8 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 1 +3V3)) + (pad 23 smd rect (at -5.4 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 24 smd rect (at -5.4 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 1 +3V3)) + (pad 25 smd rect (at -5 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 241 LVDS0_CLK_N)) + (pad 26 smd rect (at -5 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 242 LVDS0_TX0_N)) + (pad 27 smd rect (at -4.6 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 243 LVDS0_CLK_P)) + (pad 28 smd rect (at -4.6 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 244 LVDS0_TX0_P)) + (pad 29 smd rect (at -4.2 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 30 smd rect (at -4.2 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 31 smd rect (at -3.8 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 245 LVDS0_TX2_N)) + (pad 32 smd rect (at -3.8 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 246 LVDS0_TX1_N)) + (pad 33 smd rect (at -3.4 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 247 LVDS0_TX2_P)) + (pad 34 smd rect (at -3.4 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 248 LVDS0_TX1_P)) + (pad 35 smd rect (at -3 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 36 smd rect (at -3 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 37 smd rect (at -2.6 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 249 LVDS0_TX3_N)) + (pad 38 smd rect (at -2.6 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 250 LVDS0_PWM)) + (pad 39 smd rect (at -2.2 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 251 LVDS0_TX3_P)) + (pad 40 smd rect (at -2.2 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 252 LVDS0_CABC)) + (pad 41 smd rect (at -1.8 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 42 smd rect (at -1.8 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 1 +3V3)) + (pad 43 smd rect (at -1.4 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 6 "Net-(J1-Pad43)")) + (pad 44 smd rect (at -1.4 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 1 +3V3)) + (pad 45 smd rect (at -1 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 7 "Net-(J1-Pad45)")) + (pad 46 smd rect (at -1 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 1 +3V3)) + (pad 47 smd rect (at -0.6 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 8 "Net-(J1-Pad47)")) + (pad 48 smd rect (at -0.6 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 9 "Net-(J1-Pad48)")) + (pad 49 smd rect (at -0.2 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 50 smd rect (at -0.2 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 10 "Net-(J1-Pad50)")) + (pad 51 smd rect (at 0.2 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 11 "Net-(J1-Pad51)")) + (pad 52 smd rect (at 0.2 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 12 "Net-(J1-Pad52)")) + (pad 53 smd rect (at 0.6 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 13 "Net-(J1-Pad53)")) + (pad 54 smd rect (at 0.6 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 14 "Net-(J1-Pad54)")) + (pad 55 smd rect (at 1 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 15 "Net-(J1-Pad55)")) + (pad 56 smd rect (at 1 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 57 smd rect (at 1.4 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 16 "Net-(J1-Pad57)")) + (pad 58 smd rect (at 1.4 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 17 "Net-(J1-Pad58)")) + (pad 59 smd rect (at 1.8 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 60 smd rect (at 1.8 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 18 "Net-(J1-Pad60)")) + (pad 61 smd rect (at 2.2 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 19 "Net-(J1-Pad61)")) + (pad 62 smd rect (at 2.2 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 20 "Net-(J1-Pad62)")) + (pad 63 smd rect (at 2.6 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 21 "Net-(J1-Pad63)")) + (pad 64 smd rect (at 2.6 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 22 "Net-(J1-Pad64)")) + (pad 65 smd rect (at 3 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 23 "Net-(J1-Pad65)")) + (pad 66 smd rect (at 3 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 67 smd rect (at 3.4 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 24 "Net-(J1-Pad67)")) + (pad 68 smd rect (at 3.4 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 25 "Net-(J1-Pad68)")) + (pad 69 smd rect (at 3.8 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 26 "Net-(J1-Pad69)")) + (pad 70 smd rect (at 3.8 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 27 "Net-(J1-Pad70)")) + (pad 71 smd rect (at 4.2 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 28 "Net-(J1-Pad71)")) + (pad 72 smd rect (at 4.2 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 29 "Net-(J1-Pad72)")) + (pad 73 smd rect (at 4.6 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 30 "Net-(J1-Pad73)")) + (pad 74 smd rect (at 4.6 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 31 "Net-(J1-Pad74)")) + (pad 75 smd rect (at 5 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 32 "Net-(J1-Pad75)")) + (pad 76 smd rect (at 5 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 5 +5V)) + (pad 77 smd rect (at 5.4 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 26 "Net-(J1-Pad69)")) + (pad 78 smd rect (at 5.4 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 33 HDMI_HPD)) + (pad 79 smd rect (at 5.8 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 34 HDMI_D1_N)) + (pad 80 smd rect (at 5.8 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 35 HDMI_CEC_IN)) + (pad 81 smd rect (at 6.2 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 36 HDMI_D1_P)) + (pad 82 smd rect (at 6.2 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 37 HDMI_CEC_STBY)) + (pad 83 smd rect (at 6.6 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 84 smd rect (at 6.6 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 5 +5V)) + (pad 85 smd rect (at 7 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 38 HDMI_D2_N)) + (pad 86 smd rect (at 7 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 39 HDMI_D0_N)) + (pad 87 smd rect (at 7.4 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 40 HDMI_D2_P)) + (pad 88 smd rect (at 7.4 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 41 HDMI_D0_P)) + (pad 89 smd rect (at 7.8 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 90 smd rect (at 7.8 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 91 smd rect (at 8.2 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 42 "Net-(J1-Pad91)")) + (pad 92 smd rect (at 8.2 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 43 HDMI_CLK_N)) + (pad 93 smd rect (at 8.6 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 44 "Net-(J1-Pad93)")) + (pad 94 smd rect (at 8.6 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 45 HDMI_CLK_P)) + (pad 95 smd rect (at 9 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 96 smd rect (at 9 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 97 smd rect (at 9.4 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 46 "Net-(J1-Pad97)")) + (pad 98 smd rect (at 9.4 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 47 "Net-(J1-Pad98)")) + (pad 99 smd rect (at 9.8 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 48 "Net-(J1-Pad99)")) + (pad 100 smd rect (at 9.8 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 49 "Net-(J1-Pad100)")) + ) + + (module "df40c-100ds-0:HRS_DF40C-100DS-0.4V(51)" (layer F.Cu) (tedit 5A020767) (tstamp 5A020A63) + (at 131.1 76.5 90) + (path /59F31F4D) + (attr smd) + (fp_text reference J2 (at -12.6751 0.53561 180) (layer F.SilkS) + (effects (font (size 0.641451 0.641451) (thickness 0.05))) + ) + (fp_text value TinyRex-J2-DF40C (at 12.5822 0.128296 180) (layer F.SilkS) hide + (effects (font (size 0.641458 0.641458) (thickness 0.05))) + ) + (fp_line (start -11.3 1.44) (end -11.3 -1.44) (layer F.SilkS) (width 0.127)) + (fp_line (start -11.3 -1.44) (end 11.3 -1.44) (layer F.SilkS) (width 0.127)) + (fp_line (start 11.3 -1.44) (end 11.3 1.44) (layer F.SilkS) (width 0.127)) + (fp_line (start 11.3 1.44) (end -11.3 1.44) (layer F.SilkS) (width 0.127)) + (fp_line (start -10.25 1.75) (end -10.25 2.25) (layer Dwgs.User) (width 0.127)) + (fp_line (start -10.25 2.25) (end 10.25 2.25) (layer Dwgs.User) (width 0.127)) + (fp_line (start 10.25 2.25) (end 10.25 1.75) (layer Dwgs.User) (width 0.127)) + (fp_line (start 10.25 1.75) (end 11.75 1.75) (layer Dwgs.User) (width 0.127)) + (fp_line (start 11.75 1.75) (end 11.75 -1.75) (layer Dwgs.User) (width 0.127)) + (fp_line (start 11.75 -1.75) (end 10.25 -1.75) (layer Dwgs.User) (width 0.127)) + (fp_line (start 10.25 -1.75) (end 10.25 -2.25) (layer Dwgs.User) (width 0.127)) + (fp_line (start 10.25 -2.25) (end -10.25 -2.25) (layer Dwgs.User) (width 0.127)) + (fp_line (start -10.25 -2.25) (end -10.25 -1.75) (layer Dwgs.User) (width 0.127)) + (fp_line (start -10.25 -1.75) (end -11.75 -1.75) (layer Dwgs.User) (width 0.127)) + (fp_line (start -11.75 -1.75) (end -11.75 1.75) (layer Dwgs.User) (width 0.127)) + (fp_line (start -11.75 1.75) (end -10.25 1.75) (layer Dwgs.User) (width 0.127)) + (fp_line (start -10.08 1.44) (end -11.3 1.44) (layer Dwgs.User) (width 0.127)) + (fp_line (start -11.3 1.44) (end -11.3 -1.44) (layer Dwgs.User) (width 0.127)) + (fp_line (start -11.3 -1.44) (end -10.08 -1.44) (layer Dwgs.User) (width 0.127)) + (fp_line (start 10.08 -1.44) (end 11.3 -1.44) (layer Dwgs.User) (width 0.127)) + (fp_line (start 11.3 -1.44) (end 11.3 1.44) (layer Dwgs.User) (width 0.127)) + (fp_line (start 11.3 1.44) (end 10.08 1.44) (layer Dwgs.User) (width 0.127)) + (fp_line (start -10.16 1.9053) (end -10.1494 1.9053) (layer Dwgs.User) (width 0.25)) + (fp_line (start -10.1494 1.9053) (end -10.1494 1.9106) (layer Dwgs.User) (width 0.25)) + (fp_poly (pts (xy -9.90572 -1.19) (xy -9.7 -1.19) (xy -9.7 -0.750434) (xy -9.90572 -0.750434)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -9.52655 -1.19) (xy -9.3 -1.19) (xy -9.3 -0.752096) (xy -9.52655 -0.752096)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -9.11431 -1.19) (xy -8.9 -1.19) (xy -8.9 -0.75118) (xy -9.11431 -0.75118)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -8.70461 -1.19) (xy -8.5 -1.19) (xy -8.5 -0.750398) (xy -8.70461 -0.750398)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -8.5 1.19202) (xy -8.71476 1.19202) (xy -8.71476 0.75) (xy -8.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -8.9 1.19334) (xy -9.12557 1.19334) (xy -9.12557 0.75) (xy -8.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -9.3 1.19111) (xy -9.50889 1.19111) (xy -9.50889 0.75) (xy -9.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -9.7 1.19152) (xy -9.91267 1.19152) (xy -9.91267 0.75) (xy -9.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -8.3289 -1.19) (xy -8.1 -1.19) (xy -8.1 -0.752611) (xy -8.3289 -0.752611)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -7.91836 -1.19) (xy -7.7 -1.19) (xy -7.7 -0.751743) (xy -7.91836 -0.751743)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -7.50738 -1.19) (xy -7.3 -1.19) (xy -7.3 -0.750738) (xy -7.50738 -0.750738)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -7.10298 -1.19) (xy -6.9 -1.19) (xy -6.9 -0.750314) (xy -7.10298 -0.750314)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -6.9 1.1924) (xy -7.11428 1.1924) (xy -7.11428 0.75) (xy -6.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -7.3 1.192) (xy -7.51258 1.192) (xy -7.51258 0.75) (xy -7.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -7.7 1.19469) (xy -7.93115 1.19469) (xy -7.93115 0.75) (xy -7.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -8.1 1.19295) (xy -8.32057 1.19295) (xy -8.32057 0.75) (xy -8.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -5.3 1.19397) (xy -5.51834 1.19397) (xy -5.51834 0.75) (xy -5.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -5.7 1.19281) (xy -5.91394 1.19281) (xy -5.91394 0.75) (xy -5.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -6.1 1.19176) (xy -6.30931 1.19176) (xy -6.30931 0.75) (xy -6.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -6.5 1.19054) (xy -6.703 1.19054) (xy -6.703 0.75) (xy -6.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -3.7 1.1942) (xy -3.91375 1.1942) (xy -3.91375 0.75) (xy -3.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -4.1 1.19386) (xy -4.31394 1.19386) (xy -4.31394 0.75) (xy -4.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -4.5 1.19319) (xy -4.7126 1.19319) (xy -4.7126 0.75) (xy -4.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -4.9 1.19173) (xy -5.1074 1.19173) (xy -5.1074 0.75) (xy -4.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -3.3 1.19192) (xy -3.50564 1.19192) (xy -3.50564 0.75) (xy -3.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -1.7 1.19197) (xy -1.90313 1.19197) (xy -1.90313 0.75) (xy -1.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -2.1 1.19339) (xy -2.30656 1.19339) (xy -2.30656 0.75) (xy -2.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -2.5 1.19033) (xy -2.70075 1.19033) (xy -2.70075 0.75) (xy -2.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -2.9 1.1936) (xy -3.10937 1.1936) (xy -3.10937 0.75) (xy -2.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -0.1 1.19135) (xy -0.300342 1.19135) (xy -0.300342 0.75) (xy -0.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -0.5 1.19174) (xy -0.701024 1.19174) (xy -0.701024 0.75) (xy -0.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -0.9 1.19284) (xy -1.10262 1.19284) (xy -1.10262 0.75) (xy -0.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -1.3 1.19149) (xy -1.50188 1.19149) (xy -1.50188 0.75) (xy -1.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 1.5 1.19047) (xy 1.30052 1.19047) (xy 1.30052 0.75) (xy 1.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 1.1 1.19316) (xy 0.902388 1.19316) (xy 0.902388 0.75) (xy 1.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 0.7 1.19189) (xy 0.500794 1.19189) (xy 0.500794 0.75) (xy 0.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 0.3 1.19138) (xy 0.100115 1.19138) (xy 0.100115 0.75) (xy 0.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 3.1 1.19224) (xy 2.90546 1.19224) (xy 2.90546 0.75) (xy 3.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 2.7 1.19091) (xy 2.50191 1.19091) (xy 2.50191 0.75) (xy 2.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 2.3 1.19238) (xy 2.10421 1.19238) (xy 2.10421 0.75) (xy 2.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 1.9 1.19064) (xy 1.70092 1.19064) (xy 1.70092 0.75) (xy 1.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 3.5 1.19108) (xy 3.30299 1.19108) (xy 3.30299 0.75) (xy 3.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 5.1 1.19217) (xy 4.90893 1.19217) (xy 4.90893 0.75) (xy 5.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 4.7 1.1905) (xy 4.50189 1.1905) (xy 4.50189 0.75) (xy 4.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 4.3 1.19188) (xy 4.10647 1.19188) (xy 4.10647 0.75) (xy 4.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 3.9 1.19179) (xy 3.70555 1.19179) (xy 3.70555 0.75) (xy 3.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 6.7 1.19118) (xy 6.50644 1.19118) (xy 6.50644 0.75) (xy 6.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 6.3 1.19264) (xy 6.11352 1.19264) (xy 6.11352 0.75) (xy 6.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 5.9 1.1906) (xy 5.70287 1.1906) (xy 5.70287 0.75) (xy 5.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 5.5 1.193) (xy 5.31337 1.193) (xy 5.31337 0.75) (xy 5.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 8.3 1.19223) (xy 8.11513 1.19223) (xy 8.11513 0.75) (xy 8.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 7.9 1.19372) (xy 7.72405 1.19372) (xy 7.72405 0.75) (xy 7.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 7.5 1.19226) (xy 7.31385 1.19226) (xy 7.31385 0.75) (xy 7.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 7.1 1.19361) (xy 6.92093 1.19361) (xy 6.92093 0.75) (xy 7.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 9.9 1.19301) (xy 9.72454 1.19301) (xy 9.72454 0.75) (xy 9.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 9.5 1.19067) (xy 9.3052 1.19067) (xy 9.3052 0.75) (xy 9.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 9.1 1.19188) (xy 8.91403 1.19188) (xy 8.91403 0.75) (xy 9.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 8.7 1.19368) (xy 8.5263 1.19368) (xy 8.5263 0.75) (xy 8.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -1.50393 -1.19) (xy -1.3 -1.19) (xy -1.3 -0.751963) (xy -1.50393 -0.751963)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -1.10231 -1.19) (xy -0.9 -1.19) (xy -0.9 -0.751577) (xy -1.10231 -0.751577)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -0.701468 -1.19) (xy -0.5 -1.19) (xy -0.5 -0.751573) (xy -0.701468 -0.751573)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -0.300549 -1.19) (xy -0.1 -1.19) (xy -0.1 -0.751371) (xy -0.300549 -0.751371)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -3.10489 -1.19) (xy -2.9 -1.19) (xy -2.9 -0.751184) (xy -3.10489 -0.751184)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -2.70464 -1.19) (xy -2.5 -1.19) (xy -2.5 -0.751289) (xy -2.70464 -0.751289)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -2.30545 -1.19) (xy -2.1 -1.19) (xy -2.1 -0.751776) (xy -2.30545 -0.751776)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -1.90357 -1.19) (xy -1.7 -1.19) (xy -1.7 -0.751408) (xy -1.90357 -0.751408)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -4.70449 -1.19) (xy -4.5 -1.19) (xy -4.5 -0.750717) (xy -4.70449 -0.750717)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -4.309 -1.19) (xy -4.1 -1.19) (xy -4.1 -0.751571) (xy -4.309 -0.751571)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -3.90774 -1.19) (xy -3.7 -1.19) (xy -3.7 -0.751489) (xy -3.90774 -0.751489)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -3.50811 -1.19) (xy -3.3 -1.19) (xy -3.3 -0.751739) (xy -3.50811 -0.751739)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -6.31821 -1.19) (xy -6.1 -1.19) (xy -6.1 -0.752167) (xy -6.31821 -0.752167)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -5.91782 -1.19) (xy -5.7 -1.19) (xy -5.7 -0.752265) (xy -5.91782 -0.752265)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -5.50958 -1.19) (xy -5.3 -1.19) (xy -5.3 -0.751306) (xy -5.50958 -0.751306)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -5.10284 -1.19) (xy -4.9 -1.19) (xy -4.9 -0.750418) (xy -5.10284 -0.750418)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -6.71425 -1.19) (xy -6.5 -1.19) (xy -6.5 -0.751596) (xy -6.71425 -0.751596)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 5.31076 -1.19) (xy 5.5 -1.19) (xy 5.5 -0.751523) (xy 5.31076 -0.751523)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 5.70984 -1.19) (xy 5.9 -1.19) (xy 5.9 -0.751295) (xy 5.70984 -0.751295)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 6.10728 -1.19) (xy 6.3 -1.19) (xy 6.3 -0.750895) (xy 6.10728 -0.750895)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 6.51761 -1.19) (xy 6.7 -1.19) (xy 6.7 -0.752032) (xy 6.51761 -0.752032)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 3.70935 -1.19) (xy 3.9 -1.19) (xy 3.9 -0.751895) (xy 3.70935 -0.751895)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 4.10924 -1.19) (xy 4.3 -1.19) (xy 4.3 -0.75169) (xy 4.10924 -0.75169)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 4.51011 -1.19) (xy 4.7 -1.19) (xy 4.7 -0.751684) (xy 4.51011 -0.751684)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 4.90683 -1.19) (xy 5.1 -1.19) (xy 5.1 -0.751046) (xy 4.90683 -0.751046)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 2.10486 -1.19) (xy 2.3 -1.19) (xy 2.3 -0.751734) (xy 2.10486 -0.751734)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 2.50708 -1.19) (xy 2.7 -1.19) (xy 2.7 -0.752123) (xy 2.50708 -0.752123)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 2.90663 -1.19) (xy 3.1 -1.19) (xy 3.1 -0.751716) (xy 2.90663 -0.751716)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 3.30839 -1.19) (xy 3.5 -1.19) (xy 3.5 -0.751908) (xy 3.30839 -0.751908)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 0.501201 -1.19) (xy 0.7 -1.19) (xy 0.7 -0.7518) (xy 0.501201 -0.7518)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 0.901456 -1.19) (xy 1.1 -1.19) (xy 1.1 -0.751214) (xy 0.901456 -0.751214)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 1.30081 -1.19) (xy 1.5 -1.19) (xy 1.5 -0.750468) (xy 1.30081 -0.750468)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 1.70264 -1.19) (xy 1.9 -1.19) (xy 1.9 -0.751164) (xy 1.70264 -0.751164)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 0.10016 -1.19) (xy 0.3 -1.19) (xy 0.3 -0.751204) (xy 0.10016 -0.751204)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 8.90404 -1.19) (xy 9.1 -1.19) (xy 9.1 -0.750341) (xy 8.90404 -0.750341)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 9.32383 -1.19) (xy 9.5 -1.19) (xy 9.5 -0.751921) (xy 9.32383 -0.751921)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 9.72782 -1.19) (xy 9.9 -1.19) (xy 9.9 -0.752151) (xy 9.72782 -0.752151)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 7.32082 -1.19) (xy 7.5 -1.19) (xy 7.5 -0.752139) (xy 7.32082 -0.752139)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 7.70678 -1.19) (xy 7.9 -1.19) (xy 7.9 -0.75066) (xy 7.70678 -0.75066)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 8.10702 -1.19) (xy 8.3 -1.19) (xy 8.3 -0.75065) (xy 8.10702 -0.75065)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 8.51702 -1.19) (xy 8.7 -1.19) (xy 8.7 -0.751502) (xy 8.51702 -0.751502)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 6.9137 -1.19) (xy 7.1 -1.19) (xy 7.1 -0.751489) (xy 6.9137 -0.751489)) (layer Dwgs.User) (width 0.381)) + (pad 1 smd rect (at -9.8 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 50 "Net-(J2-Pad1)")) + (pad 2 smd rect (at -9.8 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 51 RSTINn)) + (pad 3 smd rect (at -9.4 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 253 BOOT_MODE0_CON)) + (pad 4 smd rect (at -9.4 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 52 RSTOUTn)) + (pad 5 smd rect (at -9 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 53 POK_1V5)) + (pad 6 smd rect (at -9 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 254 "Net-(J10-Pad1)")) + (pad 7 smd rect (at -8.6 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 54 POK_5V)) + (pad 8 smd rect (at -8.6 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 255 "Net-(J11-Pad1)")) + (pad 9 smd rect (at -8.2 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 55 POK_3V3)) + (pad 10 smd rect (at -8.2 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 56 "Net-(J2-Pad10)")) + (pad 11 smd rect (at -7.8 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 12 smd rect (at -7.8 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 13 smd rect (at -7.4 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 57 "Net-(J2-Pad13)")) + (pad 14 smd rect (at -7.4 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 58 "Net-(J2-Pad14)")) + (pad 15 smd rect (at -7 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 59 "Net-(J2-Pad15)")) + (pad 16 smd rect (at -7 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 60 "Net-(J2-Pad16)")) + (pad 17 smd rect (at -6.6 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 61 "Net-(J2-Pad17)")) + (pad 18 smd rect (at -6.6 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 62 "Net-(J2-Pad18)")) + (pad 19 smd rect (at -6.2 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 63 "Net-(J2-Pad19)")) + (pad 20 smd rect (at -6.2 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 64 "Net-(J2-Pad20)")) + (pad 21 smd rect (at -5.8 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 22 smd rect (at -5.8 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 65 "Net-(J2-Pad22)")) + (pad 23 smd rect (at -5.4 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 66 "Net-(J2-Pad23)")) + (pad 24 smd rect (at -5.4 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 25 smd rect (at -5 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 67 "Net-(J2-Pad25)")) + (pad 26 smd rect (at -5 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 256 I2C1_SCL)) + (pad 27 smd rect (at -4.6 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 68 "Net-(J2-Pad27)")) + (pad 28 smd rect (at -4.6 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 257 I2C1_SDA)) + (pad 29 smd rect (at -4.2 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 69 "Net-(J2-Pad29)")) + (pad 30 smd rect (at -4.2 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 70 "Net-(J2-Pad30)")) + (pad 31 smd rect (at -3.8 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 32 smd rect (at -3.8 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 71 "Net-(J2-Pad32)")) + (pad 33 smd rect (at -3.4 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 72 SD3_CD)) + (pad 34 smd rect (at -3.4 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 35 smd rect (at -3 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 73 SD3_WP)) + (pad 36 smd rect (at -3 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 74 SATA_RX_N)) + (pad 37 smd rect (at -2.6 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 75 SD3_CLK)) + (pad 38 smd rect (at -2.6 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 76 SATA_RX_P)) + (pad 39 smd rect (at -2.2 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 77 SD3_CMD)) + (pad 40 smd rect (at -2.2 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 41 smd rect (at -1.8 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 42 smd rect (at -1.8 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 78 SATA_TX_N)) + (pad 43 smd rect (at -1.4 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 79 SD3_DATA0)) + (pad 44 smd rect (at -1.4 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 80 SATA_TX_P)) + (pad 45 smd rect (at -1 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 81 SD3_DATA1)) + (pad 46 smd rect (at -1 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 47 smd rect (at -0.6 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 82 SD3_DATA2)) + (pad 48 smd rect (at -0.6 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 258 I2C2_SCL)) + (pad 49 smd rect (at -0.2 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 83 SD3_DATA3)) + (pad 50 smd rect (at -0.2 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 259 I2C2_SDA)) + (pad 51 smd rect (at 0.2 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 52 smd rect (at 0.2 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 260 I2C3_SDA)) + (pad 53 smd rect (at 0.6 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 84 UART1_TXD)) + (pad 54 smd rect (at 0.6 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 261 I2C3_SCL)) + (pad 55 smd rect (at 1 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 85 UART1_RXD)) + (pad 56 smd rect (at 1 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 57 smd rect (at 1.4 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 86 UART1_RTS)) + (pad 58 smd rect (at 1.4 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 87 "Net-(J2-Pad58)")) + (pad 59 smd rect (at 1.8 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 88 UART1_CTS)) + (pad 60 smd rect (at 1.8 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 89 "Net-(J2-Pad60)")) + (pad 61 smd rect (at 2.2 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 90 "Net-(J2-Pad61)")) + (pad 62 smd rect (at 2.2 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 91 "Net-(J2-Pad62)")) + (pad 63 smd rect (at 2.6 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 92 "Net-(J2-Pad63)")) + (pad 64 smd rect (at 2.6 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 93 "Net-(J2-Pad64)")) + (pad 65 smd rect (at 3 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 66 smd rect (at 3 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 67 smd rect (at 3.4 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 94 USB1_N)) + (pad 68 smd rect (at 3.4 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 95 "Net-(J2-Pad68)")) + (pad 69 smd rect (at 3.8 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 96 USB1_P)) + (pad 70 smd rect (at 3.8 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 97 USB_OC)) + (pad 71 smd rect (at 4.2 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 72 smd rect (at 4.2 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 98 USB1_PWR_EN)) + (pad 73 smd rect (at 4.6 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 99 USB0_N)) + (pad 74 smd rect (at 4.6 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 100 USB0_PWR_EN)) + (pad 75 smd rect (at 5 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 101 USB0_P)) + (pad 76 smd rect (at 5 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 102 PCIE_WAKE)) + (pad 77 smd rect (at 5.4 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 78 smd rect (at 5.4 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 79 smd rect (at 5.8 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 103 PCIE_TX_N)) + (pad 80 smd rect (at 5.8 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 104 PCIE_CLK_N)) + (pad 81 smd rect (at 6.2 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 105 PCIE_TX_P)) + (pad 82 smd rect (at 6.2 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 106 PCIE_CLK_P)) + (pad 83 smd rect (at 6.6 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 84 smd rect (at 6.6 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 85 smd rect (at 7 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 107 PCIE_RX_N)) + (pad 86 smd rect (at 7 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 108 "Net-(J2-Pad86)")) + (pad 87 smd rect (at 7.4 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 109 PCIE_RX_P)) + (pad 88 smd rect (at 7.4 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 110 "Net-(J2-Pad88)")) + (pad 89 smd rect (at 7.8 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 90 smd rect (at 7.8 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 91 smd rect (at 8.2 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 111 "Net-(J2-Pad91)")) + (pad 92 smd rect (at 8.2 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 112 "Net-(J2-Pad92)")) + (pad 93 smd rect (at 8.6 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 113 "Net-(J2-Pad93)")) + (pad 94 smd rect (at 8.6 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 114 "Net-(J2-Pad94)")) + (pad 95 smd rect (at 9 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 96 smd rect (at 9 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 115 "Net-(J2-Pad96)")) + (pad 97 smd rect (at 9.4 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 116 "Net-(J2-Pad97)")) + (pad 98 smd rect (at 9.4 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 117 "Net-(J2-Pad98)")) + (pad 99 smd rect (at 9.8 -1.54 270) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 118 "Net-(J2-Pad99)")) + (pad 100 smd rect (at 9.8 1.54 90) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 119 "Net-(J2-Pad100)")) + ) + + (module "df40c-100ds-0:HRS_DF40C-100DS-0.4V(51)" (layer F.Cu) (tedit 5A02076A) (tstamp 5A020ACA) + (at 120.3 91.2) + (path /59F36512) + (attr smd) + (fp_text reference J3 (at -12.6751 0.53561 90) (layer F.SilkS) + (effects (font (size 0.641451 0.641451) (thickness 0.05))) + ) + (fp_text value TinyRex-J3-DF40C (at 12.5822 0.128296 90) (layer F.SilkS) hide + (effects (font (size 0.641458 0.641458) (thickness 0.05))) + ) + (fp_line (start -11.3 1.44) (end -11.3 -1.44) (layer F.SilkS) (width 0.127)) + (fp_line (start -11.3 -1.44) (end 11.3 -1.44) (layer F.SilkS) (width 0.127)) + (fp_line (start 11.3 -1.44) (end 11.3 1.44) (layer F.SilkS) (width 0.127)) + (fp_line (start 11.3 1.44) (end -11.3 1.44) (layer F.SilkS) (width 0.127)) + (fp_line (start -10.25 1.75) (end -10.25 2.25) (layer Dwgs.User) (width 0.127)) + (fp_line (start -10.25 2.25) (end 10.25 2.25) (layer Dwgs.User) (width 0.127)) + (fp_line (start 10.25 2.25) (end 10.25 1.75) (layer Dwgs.User) (width 0.127)) + (fp_line (start 10.25 1.75) (end 11.75 1.75) (layer Dwgs.User) (width 0.127)) + (fp_line (start 11.75 1.75) (end 11.75 -1.75) (layer Dwgs.User) (width 0.127)) + (fp_line (start 11.75 -1.75) (end 10.25 -1.75) (layer Dwgs.User) (width 0.127)) + (fp_line (start 10.25 -1.75) (end 10.25 -2.25) (layer Dwgs.User) (width 0.127)) + (fp_line (start 10.25 -2.25) (end -10.25 -2.25) (layer Dwgs.User) (width 0.127)) + (fp_line (start -10.25 -2.25) (end -10.25 -1.75) (layer Dwgs.User) (width 0.127)) + (fp_line (start -10.25 -1.75) (end -11.75 -1.75) (layer Dwgs.User) (width 0.127)) + (fp_line (start -11.75 -1.75) (end -11.75 1.75) (layer Dwgs.User) (width 0.127)) + (fp_line (start -11.75 1.75) (end -10.25 1.75) (layer Dwgs.User) (width 0.127)) + (fp_line (start -10.08 1.44) (end -11.3 1.44) (layer Dwgs.User) (width 0.127)) + (fp_line (start -11.3 1.44) (end -11.3 -1.44) (layer Dwgs.User) (width 0.127)) + (fp_line (start -11.3 -1.44) (end -10.08 -1.44) (layer Dwgs.User) (width 0.127)) + (fp_line (start 10.08 -1.44) (end 11.3 -1.44) (layer Dwgs.User) (width 0.127)) + (fp_line (start 11.3 -1.44) (end 11.3 1.44) (layer Dwgs.User) (width 0.127)) + (fp_line (start 11.3 1.44) (end 10.08 1.44) (layer Dwgs.User) (width 0.127)) + (fp_line (start -10.16 1.9053) (end -10.1494 1.9053) (layer Dwgs.User) (width 0.25)) + (fp_line (start -10.1494 1.9053) (end -10.1494 1.9106) (layer Dwgs.User) (width 0.25)) + (fp_poly (pts (xy -9.90572 -1.19) (xy -9.7 -1.19) (xy -9.7 -0.750434) (xy -9.90572 -0.750434)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -9.52655 -1.19) (xy -9.3 -1.19) (xy -9.3 -0.752096) (xy -9.52655 -0.752096)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -9.11431 -1.19) (xy -8.9 -1.19) (xy -8.9 -0.75118) (xy -9.11431 -0.75118)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -8.70461 -1.19) (xy -8.5 -1.19) (xy -8.5 -0.750398) (xy -8.70461 -0.750398)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -8.5 1.19202) (xy -8.71476 1.19202) (xy -8.71476 0.75) (xy -8.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -8.9 1.19334) (xy -9.12557 1.19334) (xy -9.12557 0.75) (xy -8.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -9.3 1.19111) (xy -9.50889 1.19111) (xy -9.50889 0.75) (xy -9.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -9.7 1.19152) (xy -9.91267 1.19152) (xy -9.91267 0.75) (xy -9.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -8.3289 -1.19) (xy -8.1 -1.19) (xy -8.1 -0.752611) (xy -8.3289 -0.752611)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -7.91836 -1.19) (xy -7.7 -1.19) (xy -7.7 -0.751743) (xy -7.91836 -0.751743)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -7.50738 -1.19) (xy -7.3 -1.19) (xy -7.3 -0.750738) (xy -7.50738 -0.750738)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -7.10298 -1.19) (xy -6.9 -1.19) (xy -6.9 -0.750314) (xy -7.10298 -0.750314)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -6.9 1.1924) (xy -7.11428 1.1924) (xy -7.11428 0.75) (xy -6.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -7.3 1.192) (xy -7.51258 1.192) (xy -7.51258 0.75) (xy -7.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -7.7 1.19469) (xy -7.93115 1.19469) (xy -7.93115 0.75) (xy -7.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -8.1 1.19295) (xy -8.32057 1.19295) (xy -8.32057 0.75) (xy -8.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -5.3 1.19397) (xy -5.51834 1.19397) (xy -5.51834 0.75) (xy -5.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -5.7 1.19281) (xy -5.91394 1.19281) (xy -5.91394 0.75) (xy -5.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -6.1 1.19176) (xy -6.30931 1.19176) (xy -6.30931 0.75) (xy -6.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -6.5 1.19054) (xy -6.703 1.19054) (xy -6.703 0.75) (xy -6.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -3.7 1.1942) (xy -3.91375 1.1942) (xy -3.91375 0.75) (xy -3.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -4.1 1.19386) (xy -4.31394 1.19386) (xy -4.31394 0.75) (xy -4.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -4.5 1.19319) (xy -4.7126 1.19319) (xy -4.7126 0.75) (xy -4.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -4.9 1.19173) (xy -5.1074 1.19173) (xy -5.1074 0.75) (xy -4.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -3.3 1.19192) (xy -3.50564 1.19192) (xy -3.50564 0.75) (xy -3.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -1.7 1.19197) (xy -1.90313 1.19197) (xy -1.90313 0.75) (xy -1.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -2.1 1.19339) (xy -2.30656 1.19339) (xy -2.30656 0.75) (xy -2.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -2.5 1.19033) (xy -2.70075 1.19033) (xy -2.70075 0.75) (xy -2.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -2.9 1.1936) (xy -3.10937 1.1936) (xy -3.10937 0.75) (xy -2.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -0.1 1.19135) (xy -0.300342 1.19135) (xy -0.300342 0.75) (xy -0.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -0.5 1.19174) (xy -0.701024 1.19174) (xy -0.701024 0.75) (xy -0.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -0.9 1.19284) (xy -1.10262 1.19284) (xy -1.10262 0.75) (xy -0.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -1.3 1.19149) (xy -1.50188 1.19149) (xy -1.50188 0.75) (xy -1.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 1.5 1.19047) (xy 1.30052 1.19047) (xy 1.30052 0.75) (xy 1.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 1.1 1.19316) (xy 0.902388 1.19316) (xy 0.902388 0.75) (xy 1.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 0.7 1.19189) (xy 0.500794 1.19189) (xy 0.500794 0.75) (xy 0.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 0.3 1.19138) (xy 0.100115 1.19138) (xy 0.100115 0.75) (xy 0.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 3.1 1.19224) (xy 2.90546 1.19224) (xy 2.90546 0.75) (xy 3.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 2.7 1.19091) (xy 2.50191 1.19091) (xy 2.50191 0.75) (xy 2.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 2.3 1.19238) (xy 2.10421 1.19238) (xy 2.10421 0.75) (xy 2.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 1.9 1.19064) (xy 1.70092 1.19064) (xy 1.70092 0.75) (xy 1.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 3.5 1.19108) (xy 3.30299 1.19108) (xy 3.30299 0.75) (xy 3.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 5.1 1.19217) (xy 4.90893 1.19217) (xy 4.90893 0.75) (xy 5.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 4.7 1.1905) (xy 4.50189 1.1905) (xy 4.50189 0.75) (xy 4.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 4.3 1.19188) (xy 4.10647 1.19188) (xy 4.10647 0.75) (xy 4.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 3.9 1.19179) (xy 3.70555 1.19179) (xy 3.70555 0.75) (xy 3.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 6.7 1.19118) (xy 6.50644 1.19118) (xy 6.50644 0.75) (xy 6.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 6.3 1.19264) (xy 6.11352 1.19264) (xy 6.11352 0.75) (xy 6.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 5.9 1.1906) (xy 5.70287 1.1906) (xy 5.70287 0.75) (xy 5.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 5.5 1.193) (xy 5.31337 1.193) (xy 5.31337 0.75) (xy 5.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 8.3 1.19223) (xy 8.11513 1.19223) (xy 8.11513 0.75) (xy 8.3 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 7.9 1.19372) (xy 7.72405 1.19372) (xy 7.72405 0.75) (xy 7.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 7.5 1.19226) (xy 7.31385 1.19226) (xy 7.31385 0.75) (xy 7.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 7.1 1.19361) (xy 6.92093 1.19361) (xy 6.92093 0.75) (xy 7.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 9.9 1.19301) (xy 9.72454 1.19301) (xy 9.72454 0.75) (xy 9.9 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 9.5 1.19067) (xy 9.3052 1.19067) (xy 9.3052 0.75) (xy 9.5 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 9.1 1.19188) (xy 8.91403 1.19188) (xy 8.91403 0.75) (xy 9.1 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 8.7 1.19368) (xy 8.5263 1.19368) (xy 8.5263 0.75) (xy 8.7 0.75)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -1.50393 -1.19) (xy -1.3 -1.19) (xy -1.3 -0.751963) (xy -1.50393 -0.751963)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -1.10231 -1.19) (xy -0.9 -1.19) (xy -0.9 -0.751577) (xy -1.10231 -0.751577)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -0.701468 -1.19) (xy -0.5 -1.19) (xy -0.5 -0.751573) (xy -0.701468 -0.751573)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -0.300549 -1.19) (xy -0.1 -1.19) (xy -0.1 -0.751371) (xy -0.300549 -0.751371)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -3.10489 -1.19) (xy -2.9 -1.19) (xy -2.9 -0.751184) (xy -3.10489 -0.751184)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -2.70464 -1.19) (xy -2.5 -1.19) (xy -2.5 -0.751289) (xy -2.70464 -0.751289)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -2.30545 -1.19) (xy -2.1 -1.19) (xy -2.1 -0.751776) (xy -2.30545 -0.751776)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -1.90357 -1.19) (xy -1.7 -1.19) (xy -1.7 -0.751408) (xy -1.90357 -0.751408)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -4.70449 -1.19) (xy -4.5 -1.19) (xy -4.5 -0.750717) (xy -4.70449 -0.750717)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -4.309 -1.19) (xy -4.1 -1.19) (xy -4.1 -0.751571) (xy -4.309 -0.751571)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -3.90774 -1.19) (xy -3.7 -1.19) (xy -3.7 -0.751489) (xy -3.90774 -0.751489)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -3.50811 -1.19) (xy -3.3 -1.19) (xy -3.3 -0.751739) (xy -3.50811 -0.751739)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -6.31821 -1.19) (xy -6.1 -1.19) (xy -6.1 -0.752167) (xy -6.31821 -0.752167)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -5.91782 -1.19) (xy -5.7 -1.19) (xy -5.7 -0.752265) (xy -5.91782 -0.752265)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -5.50958 -1.19) (xy -5.3 -1.19) (xy -5.3 -0.751306) (xy -5.50958 -0.751306)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -5.10284 -1.19) (xy -4.9 -1.19) (xy -4.9 -0.750418) (xy -5.10284 -0.750418)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy -6.71425 -1.19) (xy -6.5 -1.19) (xy -6.5 -0.751596) (xy -6.71425 -0.751596)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 5.31076 -1.19) (xy 5.5 -1.19) (xy 5.5 -0.751523) (xy 5.31076 -0.751523)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 5.70984 -1.19) (xy 5.9 -1.19) (xy 5.9 -0.751295) (xy 5.70984 -0.751295)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 6.10728 -1.19) (xy 6.3 -1.19) (xy 6.3 -0.750895) (xy 6.10728 -0.750895)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 6.51761 -1.19) (xy 6.7 -1.19) (xy 6.7 -0.752032) (xy 6.51761 -0.752032)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 3.70935 -1.19) (xy 3.9 -1.19) (xy 3.9 -0.751895) (xy 3.70935 -0.751895)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 4.10924 -1.19) (xy 4.3 -1.19) (xy 4.3 -0.75169) (xy 4.10924 -0.75169)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 4.51011 -1.19) (xy 4.7 -1.19) (xy 4.7 -0.751684) (xy 4.51011 -0.751684)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 4.90683 -1.19) (xy 5.1 -1.19) (xy 5.1 -0.751046) (xy 4.90683 -0.751046)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 2.10486 -1.19) (xy 2.3 -1.19) (xy 2.3 -0.751734) (xy 2.10486 -0.751734)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 2.50708 -1.19) (xy 2.7 -1.19) (xy 2.7 -0.752123) (xy 2.50708 -0.752123)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 2.90663 -1.19) (xy 3.1 -1.19) (xy 3.1 -0.751716) (xy 2.90663 -0.751716)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 3.30839 -1.19) (xy 3.5 -1.19) (xy 3.5 -0.751908) (xy 3.30839 -0.751908)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 0.501201 -1.19) (xy 0.7 -1.19) (xy 0.7 -0.7518) (xy 0.501201 -0.7518)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 0.901456 -1.19) (xy 1.1 -1.19) (xy 1.1 -0.751214) (xy 0.901456 -0.751214)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 1.30081 -1.19) (xy 1.5 -1.19) (xy 1.5 -0.750468) (xy 1.30081 -0.750468)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 1.70264 -1.19) (xy 1.9 -1.19) (xy 1.9 -0.751164) (xy 1.70264 -0.751164)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 0.10016 -1.19) (xy 0.3 -1.19) (xy 0.3 -0.751204) (xy 0.10016 -0.751204)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 8.90404 -1.19) (xy 9.1 -1.19) (xy 9.1 -0.750341) (xy 8.90404 -0.750341)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 9.32383 -1.19) (xy 9.5 -1.19) (xy 9.5 -0.751921) (xy 9.32383 -0.751921)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 9.72782 -1.19) (xy 9.9 -1.19) (xy 9.9 -0.752151) (xy 9.72782 -0.752151)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 7.32082 -1.19) (xy 7.5 -1.19) (xy 7.5 -0.752139) (xy 7.32082 -0.752139)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 7.70678 -1.19) (xy 7.9 -1.19) (xy 7.9 -0.75066) (xy 7.70678 -0.75066)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 8.10702 -1.19) (xy 8.3 -1.19) (xy 8.3 -0.75065) (xy 8.10702 -0.75065)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 8.51702 -1.19) (xy 8.7 -1.19) (xy 8.7 -0.751502) (xy 8.51702 -0.751502)) (layer Dwgs.User) (width 0.381)) + (fp_poly (pts (xy 6.9137 -1.19) (xy 7.1 -1.19) (xy 7.1 -0.751489) (xy 6.9137 -0.751489)) (layer Dwgs.User) (width 0.381)) + (pad 1 smd rect (at -9.8 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 262 TRD0_N)) + (pad 2 smd rect (at -9.8 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 263 TRD2_N)) + (pad 3 smd rect (at -9.4 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 264 TRD0_P)) + (pad 4 smd rect (at -9.4 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 265 TRD2_P)) + (pad 5 smd rect (at -9 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 6 smd rect (at -9 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 120 +1V2)) + (pad 7 smd rect (at -8.6 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 266 TRD1_N)) + (pad 8 smd rect (at -8.6 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 267 TRD3_N)) + (pad 9 smd rect (at -8.2 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 268 TRD1_P)) + (pad 10 smd rect (at -8.2 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 269 TRD3_P)) + (pad 11 smd rect (at -7.8 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 12 smd rect (at -7.8 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 120 +1V2)) + (pad 13 smd rect (at -7.4 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 121 "Net-(J3-Pad13)")) + (pad 14 smd rect (at -7.4 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 270 ENET_LED_LINK)) + (pad 15 smd rect (at -7 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 122 "Net-(J3-Pad15)")) + (pad 16 smd rect (at -7 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 271 ENET_LED_RX)) + (pad 17 smd rect (at -6.6 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 123 "Net-(J3-Pad17)")) + (pad 18 smd rect (at -6.6 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 124 "Net-(J3-Pad18)")) + (pad 19 smd rect (at -6.2 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 125 "Net-(J3-Pad19)")) + (pad 20 smd rect (at -6.2 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 120 +1V2)) + (pad 21 smd rect (at -5.8 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 126 "Net-(J3-Pad21)")) + (pad 22 smd rect (at -5.8 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 127 "Net-(J3-Pad22)")) + (pad 23 smd rect (at -5.4 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 128 "Net-(J3-Pad23)")) + (pad 24 smd rect (at -5.4 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 129 "Net-(J3-Pad24)")) + (pad 25 smd rect (at -5 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 26 smd rect (at -5 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 130 "Net-(J3-Pad26)")) + (pad 27 smd rect (at -4.6 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 131 "Net-(J3-Pad27)")) + (pad 28 smd rect (at -4.6 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 29 smd rect (at -4.2 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 132 "Net-(J3-Pad29)")) + (pad 30 smd rect (at -4.2 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 133 "Net-(J3-Pad30)")) + (pad 31 smd rect (at -3.8 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 134 "Net-(J3-Pad31)")) + (pad 32 smd rect (at -3.8 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 135 "Net-(J3-Pad32)")) + (pad 33 smd rect (at -3.4 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 136 "Net-(J3-Pad33)")) + (pad 34 smd rect (at -3.4 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 137 "Net-(J3-Pad34)")) + (pad 35 smd rect (at -3 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 36 smd rect (at -3 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 138 "Net-(J3-Pad36)")) + (pad 37 smd rect (at -2.6 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 139 "Net-(J3-Pad37)")) + (pad 38 smd rect (at -2.6 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 39 smd rect (at -2.2 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 140 "Net-(J3-Pad39)")) + (pad 40 smd rect (at -2.2 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 141 "Net-(J3-Pad40)")) + (pad 41 smd rect (at -1.8 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 142 "Net-(J3-Pad41)")) + (pad 42 smd rect (at -1.8 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 143 "Net-(J3-Pad42)")) + (pad 43 smd rect (at -1.4 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 144 "Net-(J3-Pad43)")) + (pad 44 smd rect (at -1.4 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 145 "Net-(J3-Pad44)")) + (pad 45 smd rect (at -1 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 46 smd rect (at -1 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 146 "Net-(J3-Pad46)")) + (pad 47 smd rect (at -0.6 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 147 "Net-(J3-Pad47)")) + (pad 48 smd rect (at -0.6 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 156 +2V5)) + (pad 49 smd rect (at -0.2 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 148 "Net-(J3-Pad49)")) + (pad 50 smd rect (at -0.2 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 149 "Net-(J3-Pad50)")) + (pad 51 smd rect (at 0.2 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 150 "Net-(J3-Pad51)")) + (pad 52 smd rect (at 0.2 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 151 "Net-(J3-Pad52)")) + (pad 53 smd rect (at 0.6 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 152 "Net-(J3-Pad53)")) + (pad 54 smd rect (at 0.6 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 153 "Net-(J3-Pad54)")) + (pad 55 smd rect (at 1 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 56 smd rect (at 1 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 154 "Net-(J3-Pad56)")) + (pad 57 smd rect (at 1.4 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 155 "Net-(J3-Pad57)")) + (pad 58 smd rect (at 1.4 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 156 +2V5)) + (pad 59 smd rect (at 1.8 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 157 "Net-(J3-Pad59)")) + (pad 60 smd rect (at 1.8 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 158 "Net-(J3-Pad60)")) + (pad 61 smd rect (at 2.2 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 159 "Net-(J3-Pad61)")) + (pad 62 smd rect (at 2.2 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 160 "Net-(J3-Pad62)")) + (pad 63 smd rect (at 2.6 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 161 "Net-(J3-Pad63)")) + (pad 64 smd rect (at 2.6 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 162 "Net-(J3-Pad64)")) + (pad 65 smd rect (at 3 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 163 SD1_WP)) + (pad 66 smd rect (at 3 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 164 "Net-(J3-Pad66)")) + (pad 67 smd rect (at 3.4 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 165 SD1_CD)) + (pad 68 smd rect (at 3.4 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 69 smd rect (at 3.8 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 70 smd rect (at 3.8 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 166 "Net-(J3-Pad70)")) + (pad 71 smd rect (at 4.2 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 167 "Net-(J3-Pad71)")) + (pad 72 smd rect (at 4.2 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 168 "Net-(J3-Pad72)")) + (pad 73 smd rect (at 4.6 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 169 "Net-(J3-Pad73)")) + (pad 74 smd rect (at 4.6 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 170 "Net-(J3-Pad74)")) + (pad 75 smd rect (at 5 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 171 "Net-(J3-Pad75)")) + (pad 76 smd rect (at 5 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 172 "Net-(J3-Pad76)")) + (pad 77 smd rect (at 5.4 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 173 "Net-(J3-Pad77)")) + (pad 78 smd rect (at 5.4 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 174 "Net-(J3-Pad78)")) + (pad 79 smd rect (at 5.8 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 80 smd rect (at 5.8 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 81 smd rect (at 6.2 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 175 SD1_DATA4)) + (pad 82 smd rect (at 6.2 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 176 SD1_DATA0)) + (pad 83 smd rect (at 6.6 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 177 SD1_DATA5)) + (pad 84 smd rect (at 6.6 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 178 SD1_DATA1)) + (pad 85 smd rect (at 7 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 179 SD1_DATA6)) + (pad 86 smd rect (at 7 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 180 SD1_DATA2)) + (pad 87 smd rect (at 7.4 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 181 SD1_DATA7)) + (pad 88 smd rect (at 7.4 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 182 SD1_DATA3)) + (pad 89 smd rect (at 7.8 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 183 SD1_CLK)) + (pad 90 smd rect (at 7.8 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 184 SD1_CMD)) + (pad 91 smd rect (at 8.2 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 92 smd rect (at 8.2 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 93 smd rect (at 8.6 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 185 "Net-(J3-Pad93)")) + (pad 94 smd rect (at 8.6 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 186 "Net-(J3-Pad94)")) + (pad 95 smd rect (at 9 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 187 "Net-(J3-Pad95)")) + (pad 96 smd rect (at 9 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 188 "Net-(J3-Pad96)")) + (pad 97 smd rect (at 9.4 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 189 "Net-(J3-Pad97)")) + (pad 98 smd rect (at 9.4 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 190 "Net-(J3-Pad98)")) + (pad 99 smd rect (at 9.8 -1.54 180) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 191 "Net-(J3-Pad99)")) + (pad 100 smd rect (at 9.8 1.54) (size 0.2 0.7) (layers F.Cu F.Paste F.Mask) + (net 192 "Net-(J3-Pad100)")) + ) + + (module 5622-4100-ML:3M_5622-4100-ML (layer F.Cu) (tedit 0) (tstamp 5A020B31) + (at 93.363 102 180) + (path /59F3B156/59F3B793) + (fp_text reference P1 (at -17.4797 -9.69592 180) (layer F.SilkS) + (effects (font (size 1.00113 1.00113) (thickness 0.05))) + ) + (fp_text value 5622-4100-ML (at 14.0501 -9.80253 180) (layer F.SilkS) + (effects (font (size 1.00179 1.00179) (thickness 0.05))) + ) + (fp_line (start -21.373 2.3) (end -17.78 2.3) (layer F.SilkS) (width 0.2)) + (fp_line (start -17.78 2.3) (end -17.78 -1.27) (layer F.SilkS) (width 0.2)) + (fp_line (start -17.78 -1.27) (end 17.78 -1.27) (layer F.SilkS) (width 0.2)) + (fp_line (start 17.78 -1.27) (end 17.78 2.3) (layer F.SilkS) (width 0.2)) + (fp_line (start 17.78 2.3) (end 21.373 2.3) (layer F.SilkS) (width 0.2)) + (fp_line (start 21.373 2.3) (end 21.373 -8.7) (layer F.SilkS) (width 0.2)) + (fp_line (start 21.373 -8.7) (end -21.373 -8.7) (layer F.SilkS) (width 0.2)) + (fp_line (start -21.373 -8.7) (end -21.373 2.3) (layer F.SilkS) (width 0.2)) + (fp_line (start -21.65 -8.95) (end 21.65 -8.95) (layer Dwgs.User) (width 0.05)) + (fp_line (start 21.65 -8.95) (end 21.65 2.55) (layer Dwgs.User) (width 0.05)) + (fp_line (start 21.65 2.55) (end -21.65 2.55) (layer Dwgs.User) (width 0.05)) + (fp_line (start -21.65 2.55) (end -21.65 -8.95) (layer Dwgs.User) (width 0.05)) + (pad P1 thru_hole circle (at -1.907 0 180) (size 1.08 1.08) (drill 0.7) (layers *.Cu *.Mask F.SilkS) + (net 1 +3V3)) + (pad P2 thru_hole circle (at -0.637 0 180) (size 1.08 1.08) (drill 0.7) (layers *.Cu *.Mask F.SilkS) + (net 1 +3V3)) + (pad P3 thru_hole circle (at 0.633 0 180) (size 1.08 1.08) (drill 0.7) (layers *.Cu *.Mask F.SilkS) + (net 1 +3V3)) + (pad P4 thru_hole circle (at 1.903 0 180) (size 1.08 1.08) (drill 0.7) (layers *.Cu *.Mask F.SilkS) + (net 2 GND)) + (pad P5 thru_hole circle (at 3.173 0 180) (size 1.08 1.08) (drill 0.7) (layers *.Cu *.Mask F.SilkS) + (net 2 GND)) + (pad P6 thru_hole circle (at 4.443 0 180) (size 1.08 1.08) (drill 0.7) (layers *.Cu *.Mask F.SilkS) + (net 2 GND)) + (pad P7 thru_hole circle (at 5.713 0 180) (size 1.08 1.08) (drill 0.7) (layers *.Cu *.Mask F.SilkS) + (net 5 +5V)) + (pad P8 thru_hole circle (at 6.983 0 180) (size 1.08 1.08) (drill 0.7) (layers *.Cu *.Mask F.SilkS) + (net 5 +5V)) + (pad P9 thru_hole circle (at 8.253 0 180) (size 1.08 1.08) (drill 0.7) (layers *.Cu *.Mask F.SilkS) + (net 5 +5V)) + (pad P10 thru_hole circle (at 9.523 0 180) (size 1.08 1.08) (drill 0.7) (layers *.Cu *.Mask F.SilkS) + (net 2 GND)) + (pad P11 thru_hole circle (at 10.793 0 180) (size 1.08 1.08) (drill 0.7) (layers *.Cu *.Mask F.SilkS) + (net 194 "Net-(P1-PadP11)")) + (pad P12 thru_hole circle (at 12.063 0 180) (size 1.08 1.08) (drill 0.7) (layers *.Cu *.Mask F.SilkS) + (net 2 GND)) + (pad P13 thru_hole circle (at 13.333 0 180) (size 1.08 1.08) (drill 0.7) (layers *.Cu *.Mask F.SilkS) + (net 195 "Net-(P1-PadP13)")) + (pad P14 thru_hole circle (at 14.603 0 180) (size 1.08 1.08) (drill 0.7) (layers *.Cu *.Mask F.SilkS) + (net 196 "Net-(P1-PadP14)")) + (pad P15 thru_hole circle (at 15.873 0 180) (size 1.08 1.08) (drill 0.7) (layers *.Cu *.Mask F.SilkS) + (net 197 "Net-(P1-PadP15)")) + (pad S1 thru_hole circle (at -15.877 0 180) (size 1.08 1.08) (drill 0.7) (layers *.Cu *.Mask F.SilkS) + (net 2 GND)) + (pad S2 thru_hole circle (at -14.607 0 180) (size 1.08 1.08) (drill 0.7) (layers *.Cu *.Mask F.SilkS) + (net 80 SATA_TX_P)) + (pad S3 thru_hole circle (at -13.337 0 180) (size 1.08 1.08) (drill 0.7) (layers *.Cu *.Mask F.SilkS) + (net 78 SATA_TX_N)) + (pad S4 thru_hole circle (at -12.067 0 180) (size 1.08 1.08) (drill 0.7) (layers *.Cu *.Mask F.SilkS) + (net 2 GND)) + (pad S5 thru_hole circle (at -10.797 0 180) (size 1.08 1.08) (drill 0.7) (layers *.Cu *.Mask F.SilkS) + (net 74 SATA_RX_N)) + (pad S6 thru_hole circle (at -9.527 0 180) (size 1.08 1.08) (drill 0.7) (layers *.Cu *.Mask F.SilkS) + (net 76 SATA_RX_P)) + (pad S7 thru_hole circle (at -8.257 0 180) (size 1.08 1.08) (drill 0.7) (layers *.Cu *.Mask F.SilkS) + (net 2 GND)) + (pad Hole np_thru_hole circle (at -20.1 0 180) (size 2.2 2.2) (drill 2.2) (layers)) + (pad Hole np_thru_hole circle (at 20.1 0 180) (size 2.2 2.2) (drill 2.2) (layers)) + ) + + (module Connect:USB_A (layer F.Cu) (tedit 5543E289) (tstamp 5A023A0F) + (at 138.16 39.67 180) + (descr "USB A connector") + (tags "USB USB_A") + (path /5A021F5C/5A02373C) + (fp_text reference J5 (at 0 -2.35 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value USB_A (at 3.84 7.44 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -5.3 13.2) (end -5.3 -1.4) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.95 -1.4) (end 11.95 13.2) (layer F.CrtYd) (width 0.05)) + (fp_line (start -5.3 13.2) (end 11.95 13.2) (layer F.CrtYd) (width 0.05)) + (fp_line (start -5.3 -1.4) (end 11.95 -1.4) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.05 -1.14) (end 11.05 1.19) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.94 -1.14) (end -3.94 0.98) (layer F.SilkS) (width 0.12)) + (fp_line (start 11.05 -1.14) (end -3.94 -1.14) (layer F.SilkS) (width 0.12)) + (fp_line (start 11.05 12.95) (end -3.94 12.95) (layer F.SilkS) (width 0.12)) + (fp_line (start 11.05 4.15) (end 11.05 12.95) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.94 4.35) (end -3.94 12.95) (layer F.SilkS) (width 0.12)) + (pad 4 thru_hole circle (at 7.11 0 90) (size 1.5 1.5) (drill 1) (layers *.Cu *.Mask) + (net 2 GND)) + (pad 3 thru_hole circle (at 4.57 0 90) (size 1.5 1.5) (drill 1) (layers *.Cu *.Mask) + (net 221 "Net-(J5-Pad3)")) + (pad 2 thru_hole circle (at 2.54 0 90) (size 1.5 1.5) (drill 1) (layers *.Cu *.Mask) + (net 222 "Net-(J5-Pad2)")) + (pad 1 thru_hole circle (at 0 0 90) (size 1.5 1.5) (drill 1) (layers *.Cu *.Mask) + (net 223 "Net-(J5-Pad1)")) + (pad 5 thru_hole circle (at 10.16 2.67 90) (size 3 3) (drill 2.3) (layers *.Cu *.Mask) + (net 2 GND)) + (pad 5 thru_hole circle (at -3.56 2.67 90) (size 3 3) (drill 2.3) (layers *.Cu *.Mask) + (net 2 GND)) + (model ${KISYS3DMOD}/Connectors.3dshapes/USB_A.wrl + (at (xyz 0.14 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 90)) + ) + ) + + (module Connect:PJ320D_3.5mm_Jack (layer F.Cu) (tedit 58E89DF9) (tstamp 5A023A19) + (at 38.75 35.175 270) + (descr "Headphones with microphone connector, 3.5mm, 4 pins.") + (tags "3.5mm jack mic microphone phones headphones 4pins audio plug") + (path /5A021F5C/5A023B3B) + (attr smd) + (fp_text reference J6 (at 0.075 -6.35 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value Audio-Jack-3 (at -0.025 6.35 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at -1.195 0 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 5.575 2.9) (end -6.225 2.9) (layer F.Fab) (width 0.1)) + (fp_line (start -6.225 2.9) (end -6.225 2.3) (layer F.Fab) (width 0.1)) + (fp_line (start -6.225 2.3) (end -8.225 2.3) (layer F.Fab) (width 0.1)) + (fp_line (start -8.225 2.3) (end -8.225 -2.3) (layer F.Fab) (width 0.1)) + (fp_line (start -8.225 -2.3) (end -6.225 -2.3) (layer F.Fab) (width 0.1)) + (fp_line (start -6.225 -2.3) (end -6.225 -2.9) (layer F.Fab) (width 0.1)) + (fp_line (start -6.225 -2.9) (end 5.575 -2.9) (layer F.Fab) (width 0.1)) + (fp_line (start 5.575 -2.9) (end 5.575 2.9) (layer F.Fab) (width 0.1)) + (fp_line (start 4.225 3.1) (end -6.375 3.1) (layer F.SilkS) (width 0.12)) + (fp_line (start 5.625 3.1) (end 5.725 3.1) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.525 -3.1) (end 5.725 -3.1) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.525 -3.1) (end 3.125 -3.1) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.475 -3.1) (end -0.875 -3.1) (layer F.SilkS) (width 0.12)) + (fp_line (start -6.375 -3.1) (end -3.875 -3.1) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.07 5) (end 6.07 -5) (layer F.CrtYd) (width 0.05)) + (fp_line (start -8.73 5) (end -8.73 -5) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.725 3.1) (end 5.725 -3.1) (layer F.SilkS) (width 0.12)) + (fp_line (start -8.73 5) (end 6.07 5) (layer F.CrtYd) (width 0.05)) + (fp_line (start -8.73 -5) (end 6.07 -5) (layer F.CrtYd) (width 0.05)) + (fp_line (start -6.375 -3.1) (end -6.375 -2.5) (layer F.SilkS) (width 0.12)) + (fp_line (start -6.375 2.5) (end -6.375 3.1) (layer F.SilkS) (width 0.12)) + (fp_line (start -8.375 -2.5) (end -8.375 2.5) (layer F.SilkS) (width 0.12)) + (fp_line (start -6.375 -2.5) (end -8.375 -2.5) (layer F.SilkS) (width 0.12)) + (fp_line (start -6.375 2.5) (end -8.375 2.5) (layer F.SilkS) (width 0.12)) + (pad 4 smd rect (at 4.925 3.25 270) (size 1.2 2.5) (layers F.Cu F.Paste F.Mask)) + (pad 1 smd rect (at 3.825 -3.25 270) (size 1.2 2.5) (layers F.Cu F.Paste F.Mask) + (net 224 "Net-(J6-Pad1)")) + (pad 2 smd rect (at -0.175 -3.25 270) (size 1.2 2.5) (layers F.Cu F.Paste F.Mask) + (net 225 "Net-(J6-Pad2)")) + (pad 3 smd rect (at -3.175 -3.25 270) (size 1.2 2.5) (layers F.Cu F.Paste F.Mask) + (net 226 "Net-(J6-Pad3)")) + (pad "" np_thru_hole circle (at -4.775 0 270) (size 1.5 1.5) (drill 1.5) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 2.225 0 270) (size 1.5 1.5) (drill 1.5) (layers *.Cu *.Mask)) + ) + + (module Connect:USB_A (layer F.Cu) (tedit 5543E289) (tstamp 5A023A23) + (at 100.44 39.67 180) + (descr "USB A connector") + (tags "USB USB_A") + (path /5A021F5C/5A0237A7) + (fp_text reference J7 (at 0 -2.35 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value USB_A (at 3.84 7.44 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -5.3 13.2) (end -5.3 -1.4) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.95 -1.4) (end 11.95 13.2) (layer F.CrtYd) (width 0.05)) + (fp_line (start -5.3 13.2) (end 11.95 13.2) (layer F.CrtYd) (width 0.05)) + (fp_line (start -5.3 -1.4) (end 11.95 -1.4) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.05 -1.14) (end 11.05 1.19) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.94 -1.14) (end -3.94 0.98) (layer F.SilkS) (width 0.12)) + (fp_line (start 11.05 -1.14) (end -3.94 -1.14) (layer F.SilkS) (width 0.12)) + (fp_line (start 11.05 12.95) (end -3.94 12.95) (layer F.SilkS) (width 0.12)) + (fp_line (start 11.05 4.15) (end 11.05 12.95) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.94 4.35) (end -3.94 12.95) (layer F.SilkS) (width 0.12)) + (pad 4 thru_hole circle (at 7.11 0 90) (size 1.5 1.5) (drill 1) (layers *.Cu *.Mask) + (net 2 GND)) + (pad 3 thru_hole circle (at 4.57 0 90) (size 1.5 1.5) (drill 1) (layers *.Cu *.Mask) + (net 227 "Net-(J7-Pad3)")) + (pad 2 thru_hole circle (at 2.54 0 90) (size 1.5 1.5) (drill 1) (layers *.Cu *.Mask) + (net 228 "Net-(J7-Pad2)")) + (pad 1 thru_hole circle (at 0 0 90) (size 1.5 1.5) (drill 1) (layers *.Cu *.Mask) + (net 229 "Net-(J7-Pad1)")) + (pad 5 thru_hole circle (at 10.16 2.67 90) (size 3 3) (drill 2.3) (layers *.Cu *.Mask) + (net 2 GND)) + (pad 5 thru_hole circle (at -3.56 2.67 90) (size 3 3) (drill 2.3) (layers *.Cu *.Mask) + (net 2 GND)) + (model ${KISYS3DMOD}/Connectors.3dshapes/USB_A.wrl + (at (xyz 0.14 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 90)) + ) + ) + + (module Connect:USB_A (layer F.Cu) (tedit 5543E289) (tstamp 5A023A40) + (at 119.44 39.67 180) + (descr "USB A connector") + (tags "USB USB_A") + (path /5A021F5C/5A023813) + (fp_text reference J9 (at 0 -2.35 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value USB_A (at 3.84 7.44 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -5.3 13.2) (end -5.3 -1.4) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.95 -1.4) (end 11.95 13.2) (layer F.CrtYd) (width 0.05)) + (fp_line (start -5.3 13.2) (end 11.95 13.2) (layer F.CrtYd) (width 0.05)) + (fp_line (start -5.3 -1.4) (end 11.95 -1.4) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.05 -1.14) (end 11.05 1.19) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.94 -1.14) (end -3.94 0.98) (layer F.SilkS) (width 0.12)) + (fp_line (start 11.05 -1.14) (end -3.94 -1.14) (layer F.SilkS) (width 0.12)) + (fp_line (start 11.05 12.95) (end -3.94 12.95) (layer F.SilkS) (width 0.12)) + (fp_line (start 11.05 4.15) (end 11.05 12.95) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.94 4.35) (end -3.94 12.95) (layer F.SilkS) (width 0.12)) + (pad 4 thru_hole circle (at 7.11 0 90) (size 1.5 1.5) (drill 1) (layers *.Cu *.Mask) + (net 2 GND)) + (pad 3 thru_hole circle (at 4.57 0 90) (size 1.5 1.5) (drill 1) (layers *.Cu *.Mask) + (net 230 "Net-(J9-Pad3)")) + (pad 2 thru_hole circle (at 2.54 0 90) (size 1.5 1.5) (drill 1) (layers *.Cu *.Mask) + (net 231 "Net-(J9-Pad2)")) + (pad 1 thru_hole circle (at 0 0 90) (size 1.5 1.5) (drill 1) (layers *.Cu *.Mask) + (net 232 "Net-(J9-Pad1)")) + (pad 5 thru_hole circle (at 10.16 2.67 90) (size 3 3) (drill 2.3) (layers *.Cu *.Mask) + (net 2 GND)) + (pad 5 thru_hole circle (at -3.56 2.67 90) (size 3 3) (drill 2.3) (layers *.Cu *.Mask) + (net 2 GND)) + (model ${KISYS3DMOD}/Connectors.3dshapes/USB_A.wrl + (at (xyz 0.14 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 90)) + ) + ) + + (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 5A04A12E) + (at 71 52) + (descr "Capacitor SMD 0603, hand soldering") + (tags "capacitor 0603") + (path /5A048D1E) + (attr smd) + (fp_text reference C11 (at 0 -1.25) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 100nF (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.25) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.95 0) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask) + (net 5 +5V)) + (pad 2 smd rect (at 0.95 0) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 5A04A134) + (at 71 52) + (descr "Capacitor SMD 0603, hand soldering") + (tags "capacitor 0603") + (path /5A048D63) + (attr smd) + (fp_text reference C12 (at 0 -1.25) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 22uF (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.25) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.95 0) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask) + (net 5 +5V)) + (pad 2 smd rect (at 0.95 0) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 5A04A13A) + (at 71 52) + (descr "Capacitor SMD 0603, hand soldering") + (tags "capacitor 0603") + (path /5A021F5C/5A04FD85) + (attr smd) + (fp_text reference C13 (at 0 -1.25) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 100n (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.25) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.95 0) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask) + (net 1 +3V3)) + (pad 2 smd rect (at 0.95 0) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 5A04A140) + (at 71 52) + (descr "Capacitor SMD 0603, hand soldering") + (tags "capacitor 0603") + (path /5A021F5C/5A05122D) + (attr smd) + (fp_text reference C14 (at 0 -1.25) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 100n (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.25) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.95 0) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask) + (net 233 "Net-(C14-Pad1)")) + (pad 2 smd rect (at 0.95 0) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask) + (net 234 "Net-(C14-Pad2)")) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 5A04A146) + (at 71 52) + (descr "Capacitor SMD 0603, hand soldering") + (tags "capacitor 0603") + (path /5A021F5C/5A051336) + (attr smd) + (fp_text reference C15 (at 0 -1.25) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 100n (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.25) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.95 0) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask) + (net 235 "Net-(C15-Pad1)")) + (pad 2 smd rect (at 0.95 0) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask) + (net 236 "Net-(C15-Pad2)")) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 5A04A14C) + (at 71 52) + (descr "Capacitor SMD 0603, hand soldering") + (tags "capacitor 0603") + (path /5A021F5C/5A0513CA) + (attr smd) + (fp_text reference C16 (at 0 -1.25) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 100n (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.25) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.95 0) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask) + (net 237 "Net-(C16-Pad1)")) + (pad 2 smd rect (at 0.95 0) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask) + (net 238 "Net-(C16-Pad2)")) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 5A04A152) + (at 71 52) + (descr "Capacitor SMD 0603, hand soldering") + (tags "capacitor 0603") + (path /5A021F5C/5A05141B) + (attr smd) + (fp_text reference C17 (at 0 -1.25) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 100n (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.25) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.95 0) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask) + (net 239 "Net-(C17-Pad1)")) + (pad 2 smd rect (at 0.95 0) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask) + (net 240 "Net-(C17-Pad2)")) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Measurement_Points:Test_Point (layer F.Cu) (tedit 56EE99EE) (tstamp 5A04A153) + (at 126.54 48 180) + (descr "Connecteurs 2 pins") + (tags "CONN DEV") + (path /5A048BE3) + (attr virtual) + (fp_text reference J8 (at 1.27 -2 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value TEST_3V (at 1.27 2 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 0 1.25) (end 2.5 1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0 -1.25) (end 2.55 -1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.52 1) (end 0.02 1) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.52 -1) (end 0.02 -1) (layer F.SilkS) (width 0.15)) + (fp_arc (start 0.02 0) (end 0.02 1.25) (angle 180) (layer F.CrtYd) (width 0.05)) + (fp_arc (start 2.52 0) (end 2.52 -1.25) (angle 180) (layer F.CrtYd) (width 0.05)) + (fp_arc (start 2.52 0) (end 2.52 -1) (angle 180) (layer F.SilkS) (width 0.15)) + (fp_arc (start 0.02 0) (end 0.02 1) (angle 180) (layer F.SilkS) (width 0.15)) + (pad 1 thru_hole circle (at 0 0 180) (size 1.4 1.4) (drill 0.8128) (layers *.Cu *.Mask) + (net 26 "Net-(J1-Pad69)")) + (pad 1 thru_hole circle (at 2.54 0 180) (size 1.4 1.4) (drill 0.8128) (layers *.Cu *.Mask) + (net 26 "Net-(J1-Pad69)")) + (model Measurement_Points.3dshapes/Test_Point.wrl + (at (xyz 0.05 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Measurement_Points:Test_Point (layer F.Cu) (tedit 56EE99EE) (tstamp 5A04A15D) + (at 71 52) + (descr "Connecteurs 2 pins") + (tags "CONN DEV") + (path /5A04B860) + (attr virtual) + (fp_text reference J10 (at 1.27 -2) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value TEST_PMIC_ON (at 1.27 2) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 0 1.25) (end 2.5 1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0 -1.25) (end 2.55 -1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.52 1) (end 0.02 1) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.52 -1) (end 0.02 -1) (layer F.SilkS) (width 0.15)) + (fp_arc (start 0.02 0) (end 0.02 1.25) (angle 180) (layer F.CrtYd) (width 0.05)) + (fp_arc (start 2.52 0) (end 2.52 -1.25) (angle 180) (layer F.CrtYd) (width 0.05)) + (fp_arc (start 2.52 0) (end 2.52 -1) (angle 180) (layer F.SilkS) (width 0.15)) + (fp_arc (start 0.02 0) (end 0.02 1) (angle 180) (layer F.SilkS) (width 0.15)) + (pad 1 thru_hole circle (at 0 0) (size 1.4 1.4) (drill 0.8128) (layers *.Cu *.Mask) + (net 254 "Net-(J10-Pad1)")) + (pad 1 thru_hole circle (at 2.54 0) (size 1.4 1.4) (drill 0.8128) (layers *.Cu *.Mask) + (net 254 "Net-(J10-Pad1)")) + (model Measurement_Points.3dshapes/Test_Point.wrl + (at (xyz 0.05 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Measurement_Points:Test_Point (layer F.Cu) (tedit 56EE99EE) (tstamp 5A04A163) + (at 71 52) + (descr "Connecteurs 2 pins") + (tags "CONN DEV") + (path /5A04B954) + (attr virtual) + (fp_text reference J11 (at 1.27 -2) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value TEST_ON (at 1.27 2) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 0 1.25) (end 2.5 1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0 -1.25) (end 2.55 -1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.52 1) (end 0.02 1) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.52 -1) (end 0.02 -1) (layer F.SilkS) (width 0.15)) + (fp_arc (start 0.02 0) (end 0.02 1.25) (angle 180) (layer F.CrtYd) (width 0.05)) + (fp_arc (start 2.52 0) (end 2.52 -1.25) (angle 180) (layer F.CrtYd) (width 0.05)) + (fp_arc (start 2.52 0) (end 2.52 -1) (angle 180) (layer F.SilkS) (width 0.15)) + (fp_arc (start 0.02 0) (end 0.02 1) (angle 180) (layer F.SilkS) (width 0.15)) + (pad 1 thru_hole circle (at 0 0) (size 1.4 1.4) (drill 0.8128) (layers *.Cu *.Mask) + (net 255 "Net-(J11-Pad1)")) + (pad 1 thru_hole circle (at 2.54 0) (size 1.4 1.4) (drill 0.8128) (layers *.Cu *.Mask) + (net 255 "Net-(J11-Pad1)")) + (model Measurement_Points.3dshapes/Test_Point.wrl + (at (xyz 0.05 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Measurement_Points:Test_Point (layer F.Cu) (tedit 56EE99EE) (tstamp 5A04A169) + (at 71 52) + (descr "Connecteurs 2 pins") + (tags "CONN DEV") + (path /5A049E63) + (attr virtual) + (fp_text reference J12 (at 1.27 -2) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value TEST_1V2 (at 1.27 2) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 0 1.25) (end 2.5 1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0 -1.25) (end 2.55 -1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.52 1) (end 0.02 1) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.52 -1) (end 0.02 -1) (layer F.SilkS) (width 0.15)) + (fp_arc (start 0.02 0) (end 0.02 1.25) (angle 180) (layer F.CrtYd) (width 0.05)) + (fp_arc (start 2.52 0) (end 2.52 -1.25) (angle 180) (layer F.CrtYd) (width 0.05)) + (fp_arc (start 2.52 0) (end 2.52 -1) (angle 180) (layer F.SilkS) (width 0.15)) + (fp_arc (start 0.02 0) (end 0.02 1) (angle 180) (layer F.SilkS) (width 0.15)) + (pad 1 thru_hole circle (at 0 0) (size 1.4 1.4) (drill 0.8128) (layers *.Cu *.Mask) + (net 120 +1V2)) + (pad 1 thru_hole circle (at 2.54 0) (size 1.4 1.4) (drill 0.8128) (layers *.Cu *.Mask) + (net 120 +1V2)) + (model Measurement_Points.3dshapes/Test_Point.wrl + (at (xyz 0.05 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module 685119134923:685119134923 (layer F.Cu) (tedit 0) (tstamp 5A04A184) + (at 77.5 28) + (descr "WR-COM SMT Horizontal HDMI, 19 pins") + (path /5A0313A2/5A04EB26) + (attr smd) + (fp_text reference J13 (at -0.662202 -3.56699) (layer F.SilkS) + (effects (font (size 1.00031 1.00031) (thickness 0.05))) + ) + (fp_text value Conn_HDMI (at -0.577641 11.6814) (layer F.SilkS) + (effects (font (size 1.00111 1.00111) (thickness 0.05))) + ) + (fp_line (start -7.7 -0.1) (end -7.7 1.7) (layer Dwgs.User) (width 0.127)) + (fp_arc (start -7.25 1.7) (end -6.8 1.7) (angle 180) (layer Dwgs.User) (width 0.127)) + (fp_line (start -6.8 1.7) (end -6.8 -0.1) (layer Dwgs.User) (width 0.127)) + (fp_arc (start -7.25 -0.1) (end -7.7 -0.1) (angle 180) (layer Dwgs.User) (width 0.127)) + (fp_line (start 6.8 -0.1) (end 6.8 1.7) (layer Dwgs.User) (width 0.127)) + (fp_arc (start 7.25 1.7) (end 7.7 1.7) (angle 180) (layer Dwgs.User) (width 0.127)) + (fp_line (start 7.7 1.7) (end 7.7 -0.1) (layer Dwgs.User) (width 0.127)) + (fp_arc (start 7.25 -0.1) (end 6.8 -0.1) (angle 180) (layer Dwgs.User) (width 0.127)) + (fp_arc (start 7.25 7.4) (end 7.7 7.4) (angle 180) (layer Dwgs.User) (width 0.127)) + (fp_arc (start 7.25 6.2) (end 6.8 6.2) (angle 180) (layer Dwgs.User) (width 0.127)) + (fp_arc (start 7.25 7.4) (end 7.7 7.4) (angle 180) (layer Dwgs.User) (width 0.127)) + (fp_arc (start 7.25 6.2) (end 6.8 6.2) (angle 180) (layer Dwgs.User) (width 0.127)) + (fp_arc (start 7.25 7.4) (end 7.7 7.4) (angle 180) (layer Dwgs.User) (width 0.127)) + (fp_arc (start 7.25 6.2) (end 6.8 6.2) (angle 180) (layer Dwgs.User) (width 0.127)) + (fp_line (start 6.8 6.2) (end 6.8 7.4) (layer Dwgs.User) (width 0.127)) + (fp_arc (start 7.25 7.4) (end 7.7 7.4) (angle 180) (layer Dwgs.User) (width 0.127)) + (fp_line (start 7.7 7.4) (end 7.7 6.2) (layer Dwgs.User) (width 0.127)) + (fp_arc (start 7.25 6.2) (end 6.8 6.2) (angle 180) (layer Dwgs.User) (width 0.127)) + (fp_arc (start -7.25 7.4) (end -6.8 7.4) (angle 180) (layer Dwgs.User) (width 0.127)) + (fp_arc (start -7.25 6.2) (end -7.7 6.2) (angle 180) (layer Dwgs.User) (width 0.127)) + (fp_arc (start -7.25 7.4) (end -6.8 7.4) (angle 180) (layer Dwgs.User) (width 0.127)) + (fp_arc (start -7.25 6.2) (end -7.7 6.2) (angle 180) (layer Dwgs.User) (width 0.127)) + (fp_arc (start -7.25 7.4) (end -6.8 7.4) (angle 180) (layer Dwgs.User) (width 0.127)) + (fp_arc (start -7.25 6.2) (end -7.7 6.2) (angle 180) (layer Dwgs.User) (width 0.127)) + (fp_line (start -7.7 6.2) (end -7.7 7.4) (layer Dwgs.User) (width 0.127)) + (fp_arc (start -7.25 7.4) (end -6.8 7.4) (angle 180) (layer Dwgs.User) (width 0.127)) + (fp_line (start -6.8 7.4) (end -6.8 6.2) (layer Dwgs.User) (width 0.127)) + (fp_arc (start -7.25 6.2) (end -7.7 6.2) (angle 180) (layer Dwgs.User) (width 0.127)) + (fp_line (start -7.5 -1.8) (end 7.5 -1.8) (layer Dwgs.User) (width 0.127)) + (fp_line (start 7.5 -1.8) (end 7.5 10.35) (layer Dwgs.User) (width 0.127)) + (fp_line (start 7.5 10.35) (end -7.5 10.35) (layer Dwgs.User) (width 0.127)) + (fp_line (start -7.5 10.35) (end -7.5 -1.8) (layer Dwgs.User) (width 0.127)) + (fp_line (start -7.5 -1.2) (end -7.5 -1.8) (layer F.SilkS) (width 0.127)) + (fp_line (start -7.5 -1.8) (end 7.5 -1.8) (layer F.SilkS) (width 0.127)) + (fp_line (start 7.5 -1.8) (end 7.5 -1.2) (layer F.SilkS) (width 0.127)) + (fp_line (start -7.5 2.8) (end -7.5 5.1) (layer F.SilkS) (width 0.127)) + (fp_line (start 7.5 2.8) (end 7.5 5.1) (layer F.SilkS) (width 0.127)) + (fp_line (start -7.5 8.5) (end -7.5 10.35) (layer F.SilkS) (width 0.127)) + (fp_line (start -7.5 10.35) (end 7.5 10.35) (layer F.SilkS) (width 0.127)) + (fp_line (start 7.5 10.35) (end 7.5 8.5) (layer F.SilkS) (width 0.127)) + (fp_poly (pts (xy -8.3 -2.05) (xy 8.25 -2.05) (xy 8.25 10.6) (xy -8.3 10.6)) (layer Dwgs.User) (width 0.127)) + (pad SHEL thru_hole oval (at -7.25 0.8 90) (size 3.556 1.778) (drill 0.9) (layers *.Cu *.Mask F.SilkS)) + (pad SHEL thru_hole oval (at 7.25 0.8 90) (size 3.556 1.778) (drill 0.9) (layers *.Cu *.Mask F.SilkS)) + (pad SHEL thru_hole oval (at -7.25 6.8 90) (size 3 1.5) (drill 0.9) (layers *.Cu *.Mask F.SilkS)) + (pad SHEL thru_hole oval (at 7.25 6.8 90) (size 3 1.5) (drill 0.9) (layers *.Cu *.Mask F.SilkS)) + (pad 10 smd rect (at 0 0 180) (size 0.28 2.6) (layers F.Cu F.Paste F.Mask) + (net 45 HDMI_CLK_P)) + (pad 9 smd rect (at 0.5 0 180) (size 0.28 2.6) (layers F.Cu F.Paste F.Mask) + (net 39 HDMI_D0_N)) + (pad 8 smd rect (at 1 0 180) (size 0.28 2.6) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 7 smd rect (at 1.5 0 180) (size 0.28 2.6) (layers F.Cu F.Paste F.Mask) + (net 41 HDMI_D0_P)) + (pad 6 smd rect (at 2 0 180) (size 0.28 2.6) (layers F.Cu F.Paste F.Mask) + (net 34 HDMI_D1_N)) + (pad 5 smd rect (at 2.5 0 180) (size 0.28 2.6) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 4 smd rect (at 3 0 180) (size 0.28 2.6) (layers F.Cu F.Paste F.Mask) + (net 36 HDMI_D1_P)) + (pad 3 smd rect (at 3.5 0 180) (size 0.28 2.6) (layers F.Cu F.Paste F.Mask) + (net 38 HDMI_D2_N)) + (pad 11 smd rect (at -0.5 0 180) (size 0.28 2.6) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 12 smd rect (at -1 0 180) (size 0.28 2.6) (layers F.Cu F.Paste F.Mask) + (net 43 HDMI_CLK_N)) + (pad 13 smd rect (at -1.5 0 180) (size 0.28 2.6) (layers F.Cu F.Paste F.Mask) + (net 35 HDMI_CEC_IN)) + (pad 14 smd rect (at -2 0 180) (size 0.28 2.6) (layers F.Cu F.Paste F.Mask) + (net 272 "Net-(J13-Pad14)")) + (pad 15 smd rect (at -2.5 0 180) (size 0.28 2.6) (layers F.Cu F.Paste F.Mask) + (net 256 I2C1_SCL)) + (pad 16 smd rect (at -3 0 180) (size 0.28 2.6) (layers F.Cu F.Paste F.Mask) + (net 257 I2C1_SDA)) + (pad 17 smd rect (at -3.5 0 180) (size 0.28 2.6) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 2 smd rect (at 4 0 180) (size 0.28 2.6) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 1 smd rect (at 4.5 0 180) (size 0.28 2.6) (layers F.Cu F.Paste F.Mask) + (net 40 HDMI_D2_P)) + (pad 18 smd rect (at -4 0 180) (size 0.28 2.6) (layers F.Cu F.Paste F.Mask) + (net 273 "Net-(J13-Pad18)")) + (pad 19 smd rect (at -4.5 0 180) (size 0.28 2.6) (layers F.Cu F.Paste F.Mask) + (net 33 HDMI_HPD)) + ) + + (module Pin_Headers:Pin_Header_Angled_2x08_Pitch2.54mm (layer F.Cu) (tedit 59650532) (tstamp 5A04A198) + (at 61.24 97.46 270) + (descr "Through hole angled pin header, 2x08, 2.54mm pitch, 6mm pin length, double rows") + (tags "Through hole angled pin header THT 2x08 2.54mm double row") + (path /5A0313A2/5A0313D4) + (fp_text reference J14 (at 5.655 -2.27 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value LVDS_Conn (at 5.655 20.05 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 4.675 -1.27) (end 6.58 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 6.58 -1.27) (end 6.58 19.05) (layer F.Fab) (width 0.1)) + (fp_line (start 6.58 19.05) (end 4.04 19.05) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 19.05) (end 4.04 -0.635) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 -0.635) (end 4.675 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 -0.32) (end 4.04 -0.32) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 -0.32) (end -0.32 0.32) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 0.32) (end 4.04 0.32) (layer F.Fab) (width 0.1)) + (fp_line (start 6.58 -0.32) (end 12.58 -0.32) (layer F.Fab) (width 0.1)) + (fp_line (start 12.58 -0.32) (end 12.58 0.32) (layer F.Fab) (width 0.1)) + (fp_line (start 6.58 0.32) (end 12.58 0.32) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 2.22) (end 4.04 2.22) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 2.22) (end -0.32 2.86) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 2.86) (end 4.04 2.86) (layer F.Fab) (width 0.1)) + (fp_line (start 6.58 2.22) (end 12.58 2.22) (layer F.Fab) (width 0.1)) + (fp_line (start 12.58 2.22) (end 12.58 2.86) (layer F.Fab) (width 0.1)) + (fp_line (start 6.58 2.86) (end 12.58 2.86) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 4.76) (end 4.04 4.76) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 4.76) (end -0.32 5.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 5.4) (end 4.04 5.4) (layer F.Fab) (width 0.1)) + (fp_line (start 6.58 4.76) (end 12.58 4.76) (layer F.Fab) (width 0.1)) + (fp_line (start 12.58 4.76) (end 12.58 5.4) (layer F.Fab) (width 0.1)) + (fp_line (start 6.58 5.4) (end 12.58 5.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 7.3) (end 4.04 7.3) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 7.3) (end -0.32 7.94) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 7.94) (end 4.04 7.94) (layer F.Fab) (width 0.1)) + (fp_line (start 6.58 7.3) (end 12.58 7.3) (layer F.Fab) (width 0.1)) + (fp_line (start 12.58 7.3) (end 12.58 7.94) (layer F.Fab) (width 0.1)) + (fp_line (start 6.58 7.94) (end 12.58 7.94) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 9.84) (end 4.04 9.84) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 9.84) (end -0.32 10.48) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 10.48) (end 4.04 10.48) (layer F.Fab) (width 0.1)) + (fp_line (start 6.58 9.84) (end 12.58 9.84) (layer F.Fab) (width 0.1)) + (fp_line (start 12.58 9.84) (end 12.58 10.48) (layer F.Fab) (width 0.1)) + (fp_line (start 6.58 10.48) (end 12.58 10.48) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 12.38) (end 4.04 12.38) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 12.38) (end -0.32 13.02) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 13.02) (end 4.04 13.02) (layer F.Fab) (width 0.1)) + (fp_line (start 6.58 12.38) (end 12.58 12.38) (layer F.Fab) (width 0.1)) + (fp_line (start 12.58 12.38) (end 12.58 13.02) (layer F.Fab) (width 0.1)) + (fp_line (start 6.58 13.02) (end 12.58 13.02) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 14.92) (end 4.04 14.92) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 14.92) (end -0.32 15.56) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 15.56) (end 4.04 15.56) (layer F.Fab) (width 0.1)) + (fp_line (start 6.58 14.92) (end 12.58 14.92) (layer F.Fab) (width 0.1)) + (fp_line (start 12.58 14.92) (end 12.58 15.56) (layer F.Fab) (width 0.1)) + (fp_line (start 6.58 15.56) (end 12.58 15.56) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 17.46) (end 4.04 17.46) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 17.46) (end -0.32 18.1) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 18.1) (end 4.04 18.1) (layer F.Fab) (width 0.1)) + (fp_line (start 6.58 17.46) (end 12.58 17.46) (layer F.Fab) (width 0.1)) + (fp_line (start 12.58 17.46) (end 12.58 18.1) (layer F.Fab) (width 0.1)) + (fp_line (start 6.58 18.1) (end 12.58 18.1) (layer F.Fab) (width 0.1)) + (fp_line (start 3.98 -1.33) (end 3.98 19.11) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.98 19.11) (end 6.64 19.11) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.64 19.11) (end 6.64 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.64 -1.33) (end 3.98 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.64 -0.38) (end 12.64 -0.38) (layer F.SilkS) (width 0.12)) + (fp_line (start 12.64 -0.38) (end 12.64 0.38) (layer F.SilkS) (width 0.12)) + (fp_line (start 12.64 0.38) (end 6.64 0.38) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.64 -0.32) (end 12.64 -0.32) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.64 -0.2) (end 12.64 -0.2) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.64 -0.08) (end 12.64 -0.08) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.64 0.04) (end 12.64 0.04) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.64 0.16) (end 12.64 0.16) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.64 0.28) (end 12.64 0.28) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.582929 -0.38) (end 3.98 -0.38) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.582929 0.38) (end 3.98 0.38) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.11 -0.38) (end 1.497071 -0.38) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.11 0.38) (end 1.497071 0.38) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.98 1.27) (end 6.64 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.64 2.16) (end 12.64 2.16) (layer F.SilkS) (width 0.12)) + (fp_line (start 12.64 2.16) (end 12.64 2.92) (layer F.SilkS) (width 0.12)) + (fp_line (start 12.64 2.92) (end 6.64 2.92) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.582929 2.16) (end 3.98 2.16) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.582929 2.92) (end 3.98 2.92) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 2.16) (end 1.497071 2.16) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 2.92) (end 1.497071 2.92) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.98 3.81) (end 6.64 3.81) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.64 4.7) (end 12.64 4.7) (layer F.SilkS) (width 0.12)) + (fp_line (start 12.64 4.7) (end 12.64 5.46) (layer F.SilkS) (width 0.12)) + (fp_line (start 12.64 5.46) (end 6.64 5.46) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.582929 4.7) (end 3.98 4.7) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.582929 5.46) (end 3.98 5.46) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 4.7) (end 1.497071 4.7) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 5.46) (end 1.497071 5.46) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.98 6.35) (end 6.64 6.35) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.64 7.24) (end 12.64 7.24) (layer F.SilkS) (width 0.12)) + (fp_line (start 12.64 7.24) (end 12.64 8) (layer F.SilkS) (width 0.12)) + (fp_line (start 12.64 8) (end 6.64 8) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.582929 7.24) (end 3.98 7.24) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.582929 8) (end 3.98 8) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 7.24) (end 1.497071 7.24) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 8) (end 1.497071 8) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.98 8.89) (end 6.64 8.89) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.64 9.78) (end 12.64 9.78) (layer F.SilkS) (width 0.12)) + (fp_line (start 12.64 9.78) (end 12.64 10.54) (layer F.SilkS) (width 0.12)) + (fp_line (start 12.64 10.54) (end 6.64 10.54) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.582929 9.78) (end 3.98 9.78) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.582929 10.54) (end 3.98 10.54) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 9.78) (end 1.497071 9.78) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 10.54) (end 1.497071 10.54) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.98 11.43) (end 6.64 11.43) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.64 12.32) (end 12.64 12.32) (layer F.SilkS) (width 0.12)) + (fp_line (start 12.64 12.32) (end 12.64 13.08) (layer F.SilkS) (width 0.12)) + (fp_line (start 12.64 13.08) (end 6.64 13.08) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.582929 12.32) (end 3.98 12.32) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.582929 13.08) (end 3.98 13.08) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 12.32) (end 1.497071 12.32) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 13.08) (end 1.497071 13.08) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.98 13.97) (end 6.64 13.97) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.64 14.86) (end 12.64 14.86) (layer F.SilkS) (width 0.12)) + (fp_line (start 12.64 14.86) (end 12.64 15.62) (layer F.SilkS) (width 0.12)) + (fp_line (start 12.64 15.62) (end 6.64 15.62) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.582929 14.86) (end 3.98 14.86) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.582929 15.62) (end 3.98 15.62) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 14.86) (end 1.497071 14.86) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 15.62) (end 1.497071 15.62) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.98 16.51) (end 6.64 16.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.64 17.4) (end 12.64 17.4) (layer F.SilkS) (width 0.12)) + (fp_line (start 12.64 17.4) (end 12.64 18.16) (layer F.SilkS) (width 0.12)) + (fp_line (start 12.64 18.16) (end 6.64 18.16) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.582929 17.4) (end 3.98 17.4) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.582929 18.16) (end 3.98 18.16) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 17.4) (end 1.497071 17.4) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 18.16) (end 1.497071 18.16) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.27 0) (end -1.27 -1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.27 -1.27) (end 0 -1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -1.8) (end -1.8 19.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 19.55) (end 13.1 19.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start 13.1 19.55) (end 13.1 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 13.1 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 5.31 8.89 360) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole rect (at 0 0 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 241 LVDS0_CLK_N)) + (pad 2 thru_hole oval (at 2.54 0 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 242 LVDS0_TX0_N)) + (pad 3 thru_hole oval (at 0 2.54 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 243 LVDS0_CLK_P)) + (pad 4 thru_hole oval (at 2.54 2.54 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 244 LVDS0_TX0_P)) + (pad 5 thru_hole oval (at 0 5.08 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 1 +3V3)) + (pad 6 thru_hole oval (at 2.54 5.08 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 5 +5V)) + (pad 7 thru_hole oval (at 0 7.62 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 245 LVDS0_TX2_N)) + (pad 8 thru_hole oval (at 2.54 7.62 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 246 LVDS0_TX1_N)) + (pad 9 thru_hole oval (at 0 10.16 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 247 LVDS0_TX2_P)) + (pad 10 thru_hole oval (at 2.54 10.16 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 248 LVDS0_TX1_P)) + (pad 11 thru_hole oval (at 0 12.7 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 2 GND)) + (pad 12 thru_hole oval (at 2.54 12.7 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 274 "Net-(J14-Pad12)")) + (pad 13 thru_hole oval (at 0 15.24 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 249 LVDS0_TX3_N)) + (pad 14 thru_hole oval (at 2.54 15.24 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 250 LVDS0_PWM)) + (pad 15 thru_hole oval (at 0 17.78 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 251 LVDS0_TX3_P)) + (pad 16 thru_hole oval (at 2.54 17.78 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 275 "Net-(J14-Pad16)")) + (model ${KISYS3DMOD}/Pin_Headers.3dshapes/Pin_Header_Angled_2x08_Pitch2.54mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module J0G-0003NL:PULSE_J0G-0003NL (layer F.Cu) (tedit 0) (tstamp 5A04A1B1) + (at 56.925 39.4) + (path /5A021F5C/5A04FC73) + (fp_text reference P2 (at -6.2814 -14.2836) (layer F.SilkS) + (effects (font (size 1.00342 1.00342) (thickness 0.05))) + ) + (fp_text value J0G-0003NL (at -5.6348 11.9858) (layer F.SilkS) + (effects (font (size 1.00174 1.00174) (thickness 0.05))) + ) + (fp_line (start -8.79 10.92) (end -8.79 -13.21) (layer Dwgs.User) (width 0.127)) + (fp_line (start -8.79 -13.21) (end 8.79 -13.21) (layer Dwgs.User) (width 0.127)) + (fp_line (start 8.79 -13.21) (end 8.79 10.92) (layer Dwgs.User) (width 0.127)) + (fp_line (start 8.79 10.92) (end -8.79 10.92) (layer Dwgs.User) (width 0.127)) + (fp_line (start -8.79 -13.21) (end 8.79 -13.21) (layer F.SilkS) (width 0.127)) + (fp_line (start 8.79 10.92) (end -8.79 10.92) (layer F.SilkS) (width 0.127)) + (fp_line (start 8.79 2.03) (end 8.79 10.92) (layer F.SilkS) (width 0.127)) + (fp_line (start -8.79 10.92) (end -8.79 2.03) (layer F.SilkS) (width 0.127)) + (fp_line (start 9.25 -13.5) (end -9.25 -13.5) (layer Dwgs.User) (width 0.05)) + (fp_line (start -9.25 11.25) (end 9.25 11.25) (layer Dwgs.User) (width 0.05)) + (fp_line (start -9.25 11.25) (end -9.25 1.5) (layer Dwgs.User) (width 0.05)) + (fp_line (start -9.25 1.5) (end -10.5 1.5) (layer Dwgs.User) (width 0.05)) + (fp_line (start -10.5 1.5) (end -10.5 -1.5) (layer Dwgs.User) (width 0.05)) + (fp_line (start -10.5 -1.5) (end -9.25 -1.5) (layer Dwgs.User) (width 0.05)) + (fp_line (start -9.25 -1.5) (end -9.25 -13.5) (layer Dwgs.User) (width 0.05)) + (fp_line (start 9.25 11.25) (end 9.25 1.5) (layer Dwgs.User) (width 0.05)) + (fp_line (start 9.25 1.5) (end 10.5 1.5) (layer Dwgs.User) (width 0.05)) + (fp_line (start 10.5 1.5) (end 10.5 -1.5) (layer Dwgs.User) (width 0.05)) + (fp_line (start 10.5 -1.5) (end 9.25 -1.5) (layer Dwgs.User) (width 0.05)) + (fp_line (start 9.25 -1.5) (end 9.25 -13.5) (layer Dwgs.User) (width 0.05)) + (fp_circle (center -9.66 6.6) (end -9.46 6.6) (layer F.SilkS) (width 0.4)) + (pad 10 thru_hole circle (at 2.035 9.14) (size 1.398 1.398) (drill 0.89) (layers *.Cu *.Mask F.SilkS) + (net 262 TRD0_N)) + (pad 11 thru_hole circle (at 4.065 9.14) (size 1.398 1.398) (drill 0.89) (layers *.Cu *.Mask F.SilkS) + (net 264 TRD0_P)) + (pad 12 thru_hole circle (at 6.095 9.14) (size 1.398 1.398) (drill 0.89) (layers *.Cu *.Mask F.SilkS) + (net 239 "Net-(C17-Pad1)")) + (pad 9 thru_hole circle (at -2.035 9.14) (size 1.398 1.398) (drill 0.89) (layers *.Cu *.Mask F.SilkS) + (net 267 TRD3_N)) + (pad 8 thru_hole circle (at -4.065 9.14) (size 1.398 1.398) (drill 0.89) (layers *.Cu *.Mask F.SilkS) + (net 269 TRD3_P)) + (pad 7 thru_hole circle (at -6.095 9.14) (size 1.398 1.398) (drill 0.89) (layers *.Cu *.Mask F.SilkS) + (net 233 "Net-(C14-Pad1)")) + (pad 4 thru_hole circle (at 1.015 6.6) (size 1.398 1.398) (drill 0.89) (layers *.Cu *.Mask F.SilkS) + (net 268 TRD1_P)) + (pad 3 thru_hole circle (at -1.015 6.6) (size 1.398 1.398) (drill 0.89) (layers *.Cu *.Mask F.SilkS) + (net 265 TRD2_P)) + (pad 2 thru_hole circle (at -3.045 6.6) (size 1.398 1.398) (drill 0.89) (layers *.Cu *.Mask F.SilkS) + (net 263 TRD2_N)) + (pad 1 thru_hole rect (at -5.075 6.6) (size 1.398 1.398) (drill 0.89) (layers *.Cu *.Mask F.SilkS) + (net 235 "Net-(C15-Pad1)")) + (pad 5 thru_hole circle (at 3.045 6.6) (size 1.398 1.398) (drill 0.89) (layers *.Cu *.Mask F.SilkS) + (net 266 TRD1_N)) + (pad 6 thru_hole circle (at 5.075 6.6) (size 1.398 1.398) (drill 0.89) (layers *.Cu *.Mask F.SilkS) + (net 237 "Net-(C16-Pad1)")) + (pad 17 thru_hole circle (at 7.52 -8.89) (size 1.905 1.905) (drill 1.27) (layers *.Cu *.Mask F.SilkS) + (net 271 ENET_LED_RX)) + (pad 16 thru_hole circle (at 7.52 -6.35) (size 1.905 1.905) (drill 1.27) (layers *.Cu *.Mask F.SilkS) + (net 1 +3V3)) + (pad 15 thru_hole circle (at 7.52 -3.81) (size 1.905 1.905) (drill 1.27) (layers *.Cu *.Mask F.SilkS) + (net 276 "Net-(P2-Pad15)")) + (pad 14 thru_hole circle (at -7.52 -8.89) (size 1.905 1.905) (drill 1.27) (layers *.Cu *.Mask F.SilkS) + (net 1 +3V3)) + (pad 13 thru_hole circle (at -7.52 -6.35) (size 1.905 1.905) (drill 1.27) (layers *.Cu *.Mask F.SilkS) + (net 270 ENET_LED_LINK)) + (pad Hole np_thru_hole circle (at 4.825 0) (size 3.2 3.2) (drill 3.2) (layers)) + (pad Hole np_thru_hole circle (at -4.825 0) (size 3.2 3.2) (drill 3.2) (layers)) + (pad SH2 thru_hole circle (at 8.79 0) (size 2.355 2.355) (drill 1.57) (layers *.Cu *.Mask F.SilkS) + (net 277 "Net-(P2-PadSH1)")) + (pad SH1 thru_hole circle (at -8.79 0) (size 2.355 2.355) (drill 1.57) (layers *.Cu *.Mask F.SilkS) + (net 277 "Net-(P2-PadSH1)")) + ) + + (dimension 100 (width 0.3) (layer Dwgs.User) + (gr_text "100.000 mm" (at 167.35 70 270) (layer Dwgs.User) + (effects (font (size 1.5 1.5) (thickness 0.3))) + ) + (feature1 (pts (xy 160 120) (xy 168.7 120))) + (feature2 (pts (xy 160 20) (xy 168.7 20))) + (crossbar (pts (xy 166 20) (xy 166 120))) + (arrow1a (pts (xy 166 120) (xy 165.413579 118.873496))) + (arrow1b (pts (xy 166 120) (xy 166.586421 118.873496))) + (arrow2a (pts (xy 166 20) (xy 165.413579 21.126504))) + (arrow2b (pts (xy 166 20) (xy 166.586421 21.126504))) + ) + (dimension 130 (width 0.3) (layer Dwgs.User) + (gr_text "130.000 mm" (at 95 14.65) (layer Dwgs.User) + (effects (font (size 1.5 1.5) (thickness 0.3))) + ) + (feature1 (pts (xy 160 20) (xy 160 13.3))) + (feature2 (pts (xy 30 20) (xy 30 13.3))) + (crossbar (pts (xy 30 16) (xy 160 16))) + (arrow1a (pts (xy 160 16) (xy 158.873496 16.586421))) + (arrow1b (pts (xy 160 16) (xy 158.873496 15.413579))) + (arrow2a (pts (xy 30 16) (xy 31.126504 16.586421))) + (arrow2b (pts (xy 30 16) (xy 31.126504 15.413579))) + ) + (gr_line (start 100 60) (end 134 60) (layer Dwgs.User) (width 0.2)) + (gr_line (start 134 98) (end 100 98) (layer Dwgs.User) (width 0.2)) + (gr_line (start 134 60) (end 134 98) (layer Dwgs.User) (width 0.2)) + (gr_line (start 96 91.2) (end 111.1 91.2) (layer Dwgs.User) (width 0.2)) + (dimension 38 (width 0.3) (layer Dwgs.User) + (gr_text "38.000 mm" (at 94.65 79 270) (layer Dwgs.User) + (effects (font (size 1.5 1.5) (thickness 0.3))) + ) + (feature1 (pts (xy 100 98) (xy 93.3 98))) + (feature2 (pts (xy 100 60) (xy 93.3 60))) + (crossbar (pts (xy 96 60) (xy 96 98))) + (arrow1a (pts (xy 96 98) (xy 95.413579 96.873496))) + (arrow1b (pts (xy 96 98) (xy 96.586421 96.873496))) + (arrow2a (pts (xy 96 60) (xy 95.413579 61.126504))) + (arrow2b (pts (xy 96 60) (xy 96.586421 61.126504))) + ) + (dimension 38 (width 0.3) (layer Dwgs.User) + (gr_text "38.000 mm" (at 115.001774 55.573137) (layer Dwgs.User) (tstamp 5A0204E2) + (effects (font (size 1.5 1.5) (thickness 0.3))) + ) + (feature1 (pts (xy 134.001774 59.923137) (xy 134.001774 54.223137))) + (feature2 (pts (xy 96.001774 59.923137) (xy 96.001774 54.223137))) + (crossbar (pts (xy 96.001774 56.923137) (xy 134.001774 56.923137))) + (arrow1a (pts (xy 134.001774 56.923137) (xy 132.87527 57.509558))) + (arrow1b (pts (xy 134.001774 56.923137) (xy 132.87527 56.336716))) + (arrow2a (pts (xy 96.001774 56.923137) (xy 97.128278 57.509558))) + (arrow2b (pts (xy 96.001774 56.923137) (xy 97.128278 56.336716))) + ) + + (segment (start 131.7425 79.443166) (end 131.780667 79.404999) (width 0.125) (layer F.Cu) (net 74)) + (segment (start 132.112497 79.404999) (end 132.119999 79.412501) (width 0.125) (layer F.Cu) (net 74)) + (segment (start 131.780667 79.404999) (end 132.112497 79.404999) (width 0.125) (layer F.Cu) (net 74)) + (segment (start 132.119999 79.412501) (end 132.552501 79.412501) (width 0.125) (layer F.Cu) (net 74)) + (segment (start 132.552501 79.412501) (end 132.64 79.5) (width 0.125) (layer F.Cu) (net 74)) + (segment (start 131.7425 94.713166) (end 131.7425 79.443166) (width 0.125) (layer F.Cu) (net 74)) + (segment (start 131.513176 94.94249) (end 131.7425 94.713166) (width 0.125) (layer F.Cu) (net 74)) + (segment (start 108.022166 96.8335) (end 116.081912 96.8335) (width 0.125) (layer F.Cu) (net 74)) + (segment (start 116.081912 96.8335) (end 117.972922 94.94249) (width 0.125) (layer F.Cu) (net 74)) + (segment (start 117.972922 94.94249) (end 131.513176 94.94249) (width 0.125) (layer F.Cu) (net 74)) + (segment (start 103.677499 101.178167) (end 108.022166 96.8335) (width 0.125) (layer F.Cu) (net 74)) + (segment (start 104.16 102) (end 103.677499 101.517499) (width 0.125) (layer F.Cu) (net 74)) + (segment (start 103.677499 101.517499) (end 103.677499 101.178167) (width 0.125) (layer F.Cu) (net 74)) + (segment (start 131.4375 79.316834) (end 131.654334 79.1) (width 0.125) (layer F.Cu) (net 76)) + (segment (start 131.654334 79.1) (end 132.545 79.1) (width 0.125) (layer F.Cu) (net 76)) + (segment (start 132.545 79.1) (end 132.64 79.1) (width 0.125) (layer F.Cu) (net 76)) + (segment (start 131.4375 94.586834) (end 131.4375 79.316834) (width 0.125) (layer F.Cu) (net 76)) + (segment (start 131.386835 94.637499) (end 131.4375 94.586834) (width 0.125) (layer F.Cu) (net 76)) + (segment (start 107.895834 96.5285) (end 115.95559 96.5285) (width 0.125) (layer F.Cu) (net 76)) + (segment (start 115.95559 96.5285) (end 117.846591 94.637499) (width 0.125) (layer F.Cu) (net 76)) + (segment (start 117.846591 94.637499) (end 131.386835 94.637499) (width 0.125) (layer F.Cu) (net 76)) + (segment (start 103.372501 101.051833) (end 107.895834 96.5285) (width 0.125) (layer F.Cu) (net 76)) + (segment (start 102.89 102) (end 103.372501 101.517499) (width 0.125) (layer F.Cu) (net 76)) + (segment (start 103.372501 101.517499) (end 103.372501 101.051833) (width 0.125) (layer F.Cu) (net 76)) + (segment (start 133.165 78.3) (end 132.64 78.3) (width 0.125) (layer F.Cu) (net 78)) + (segment (start 135.1175 78.673166) (end 134.696834 78.2525) (width 0.125) (layer F.Cu) (net 78)) + (segment (start 134.696834 78.2525) (end 133.2125 78.2525) (width 0.125) (layer F.Cu) (net 78)) + (segment (start 133.2125 78.2525) (end 133.165 78.3) (width 0.125) (layer F.Cu) (net 78)) + (segment (start 135.1175 93.276834) (end 135.1175 78.673166) (width 0.125) (layer F.Cu) (net 78)) + (segment (start 133.176834 95.2175) (end 135.1175 93.276834) (width 0.125) (layer F.Cu) (net 78)) + (segment (start 118.086834 95.2175) (end 133.176834 95.2175) (width 0.125) (layer F.Cu) (net 78)) + (segment (start 116.066834 97.2375) (end 118.086834 95.2175) (width 0.125) (layer F.Cu) (net 78)) + (segment (start 111.036834 97.2375) (end 116.066834 97.2375) (width 0.125) (layer F.Cu) (net 78)) + (segment (start 107.182501 101.091833) (end 111.036834 97.2375) (width 0.125) (layer F.Cu) (net 78)) + (segment (start 106.7 102) (end 107.182501 101.517499) (width 0.125) (layer F.Cu) (net 78)) + (segment (start 107.182501 101.517499) (end 107.182501 101.091833) (width 0.125) (layer F.Cu) (net 78)) + (segment (start 135.4225 78.546834) (end 134.823166 77.9475) (width 0.125) (layer F.Cu) (net 80)) + (segment (start 134.823166 77.9475) (end 133.2125 77.9475) (width 0.125) (layer F.Cu) (net 80)) + (segment (start 133.2125 77.9475) (end 133.165 77.9) (width 0.125) (layer F.Cu) (net 80)) + (segment (start 133.165 77.9) (end 132.64 77.9) (width 0.125) (layer F.Cu) (net 80)) + (segment (start 135.4225 93.403166) (end 135.4225 78.546834) (width 0.125) (layer F.Cu) (net 80)) + (segment (start 133.303166 95.5225) (end 135.4225 93.403166) (width 0.125) (layer F.Cu) (net 80)) + (segment (start 118.213166 95.5225) (end 133.303166 95.5225) (width 0.125) (layer F.Cu) (net 80)) + (segment (start 116.193166 97.5425) (end 118.213166 95.5225) (width 0.125) (layer F.Cu) (net 80)) + (segment (start 111.163166 97.5425) (end 116.193166 97.5425) (width 0.125) (layer F.Cu) (net 80)) + (segment (start 107.487499 101.218167) (end 111.163166 97.5425) (width 0.125) (layer F.Cu) (net 80)) + (segment (start 107.97 102) (end 107.487499 101.517499) (width 0.125) (layer F.Cu) (net 80)) + (segment (start 107.487499 101.517499) (end 107.487499 101.218167) (width 0.125) (layer F.Cu) (net 80)) + (segment (start 90.2 73.4) (end 90.487499 73.112501) (width 0.125) (layer F.Cu) (net 103)) + (segment (start 93.830002 70.6525) (end 128.9875 70.6525) (width 0.125) (layer F.Cu) (net 103)) + (segment (start 90.487499 73.112501) (end 91.370001 73.112501) (width 0.125) (layer F.Cu) (net 103)) + (segment (start 91.370001 73.112501) (end 93.830002 70.6525) (width 0.125) (layer F.Cu) (net 103)) + (segment (start 128.9875 70.6525) (end 129.035 70.7) (width 0.125) (layer F.Cu) (net 103)) + (segment (start 129.035 70.7) (end 129.56 70.7) (width 0.125) (layer F.Cu) (net 103)) + (segment (start 120.740827 77.67484) (end 120.810607 77.60506) (width 0.125) (layer F.Cu) (net 104)) + (segment (start 120.810607 77.60506) (end 121.00506 77.60506) (width 0.125) (layer F.Cu) (net 104)) + (segment (start 90.2 84.6) (end 91.7 84.6) (width 0.125) (layer F.Cu) (net 104)) + (segment (start 91.7 84.6) (end 98.62516 77.67484) (width 0.125) (layer F.Cu) (net 104)) + (segment (start 98.62516 77.67484) (end 120.740827 77.67484) (width 0.125) (layer F.Cu) (net 104)) + (segment (start 131.055395 70.571061) (end 131.136834 70.6525) (width 0.125) (layer F.Cu) (net 104)) + (segment (start 131.136834 70.6525) (end 132.0675 70.6525) (width 0.125) (layer F.Cu) (net 104)) + (segment (start 132.0675 70.6525) (end 132.115 70.7) (width 0.125) (layer F.Cu) (net 104)) + (segment (start 132.115 70.7) (end 132.64 70.7) (width 0.125) (layer F.Cu) (net 104)) + (segment (start 130.653771 70.708562) (end 130.791272 70.571061) (width 0.125) (layer F.Cu) (net 104)) + (segment (start 130.791272 70.571061) (end 131.055395 70.571061) (width 0.125) (layer F.Cu) (net 104)) + (segment (start 127.844606 70.571061) (end 130.51627 70.571061) (width 0.125) (layer B.Cu) (net 104)) + (via (at 130.653771 70.708562) (size 0.4) (drill 0.3) (layers F.Cu B.Cu) (net 104)) + (segment (start 121.00506 77.60506) (end 121.00506 77.410607) (width 0.125) (layer B.Cu) (net 104)) + (segment (start 121.00506 77.410607) (end 127.844606 70.571061) (width 0.125) (layer B.Cu) (net 104)) + (segment (start 130.51627 70.571061) (end 130.653771 70.708562) (width 0.125) (layer B.Cu) (net 104)) + (via (at 121.00506 77.60506) (size 0.4) (drill 0.3) (layers F.Cu B.Cu) (net 104)) + (segment (start 90.2 72.6) (end 90.568665 72.6) (width 0.125) (layer F.Cu) (net 105)) + (segment (start 90.568665 72.6) (end 90.856164 72.312501) (width 0.125) (layer F.Cu) (net 105)) + (segment (start 93.335002 70.3475) (end 128.9875 70.3475) (width 0.125) (layer F.Cu) (net 105)) + (segment (start 90.856164 72.312501) (end 91.370001 72.312501) (width 0.125) (layer F.Cu) (net 105)) + (segment (start 91.370001 72.312501) (end 93.335002 70.3475) (width 0.125) (layer F.Cu) (net 105)) + (segment (start 128.9875 70.3475) (end 129.035 70.3) (width 0.125) (layer F.Cu) (net 105)) + (segment (start 129.035 70.3) (end 129.56 70.3) (width 0.125) (layer F.Cu) (net 105)) + (segment (start 120.59494 77.19494) (end 119.28 77.19494) (width 0.125) (layer F.Cu) (net 106)) + (segment (start 119.28 77.19494) (end 119.23579 77.189958) (width 0.125) (layer F.Cu) (net 106)) + (segment (start 118.556128 76.840933) (end 118.524669 76.872392) (width 0.125) (layer F.Cu) (net 106)) + (segment (start 118.28265 77.19494) (end 98.716136 77.19494) (width 0.125) (layer F.Cu) (net 106)) + (segment (start 119.23579 77.189958) (end 119.193798 77.175264) (width 0.125) (layer F.Cu) (net 106)) + (segment (start 119.076343 76.952054) (end 119.061649 76.910062) (width 0.125) (layer F.Cu) (net 106)) + (segment (start 119.193798 77.175264) (end 119.156128 77.151595) (width 0.125) (layer F.Cu) (net 106)) + (segment (start 119.101 77.082466) (end 119.086306 77.040474) (width 0.125) (layer F.Cu) (net 106)) + (segment (start 119.156128 77.151595) (end 119.124669 77.120136) (width 0.125) (layer F.Cu) (net 106)) + (segment (start 119.124669 77.120136) (end 119.101 77.082466) (width 0.125) (layer F.Cu) (net 106)) + (segment (start 118.406521 77.151595) (end 118.368851 77.175264) (width 0.125) (layer F.Cu) (net 106)) + (segment (start 119.03798 76.872392) (end 119.006521 76.840933) (width 0.125) (layer F.Cu) (net 106)) + (segment (start 119.086306 77.040474) (end 119.076343 76.952054) (width 0.125) (layer F.Cu) (net 106)) + (segment (start 118.68 76.797589) (end 118.63579 76.80257) (width 0.125) (layer F.Cu) (net 106)) + (segment (start 119.061649 76.910062) (end 119.03798 76.872392) (width 0.125) (layer F.Cu) (net 106)) + (segment (start 118.326859 77.189958) (end 118.28265 77.19494) (width 0.125) (layer F.Cu) (net 106)) + (segment (start 119.006521 76.840933) (end 118.968851 76.817264) (width 0.125) (layer F.Cu) (net 106)) + (segment (start 118.926859 76.80257) (end 118.88265 76.797589) (width 0.125) (layer F.Cu) (net 106)) + (segment (start 118.968851 76.817264) (end 118.926859 76.80257) (width 0.125) (layer F.Cu) (net 106)) + (segment (start 118.88265 76.797589) (end 118.68 76.797589) (width 0.125) (layer F.Cu) (net 106)) + (segment (start 118.63579 76.80257) (end 118.593798 76.817264) (width 0.125) (layer F.Cu) (net 106)) + (segment (start 118.593798 76.817264) (end 118.556128 76.840933) (width 0.125) (layer F.Cu) (net 106)) + (segment (start 118.461649 77.082466) (end 118.43798 77.120136) (width 0.125) (layer F.Cu) (net 106)) + (segment (start 92.111076 83.8) (end 90.2 83.8) (width 0.125) (layer F.Cu) (net 106)) + (segment (start 118.524669 76.872392) (end 118.501 76.910062) (width 0.125) (layer F.Cu) (net 106)) + (segment (start 118.501 76.910062) (end 118.486306 76.952054) (width 0.125) (layer F.Cu) (net 106)) + (segment (start 118.486306 76.952054) (end 118.476343 77.040474) (width 0.125) (layer F.Cu) (net 106)) + (segment (start 118.368851 77.175264) (end 118.326859 77.189958) (width 0.125) (layer F.Cu) (net 106)) + (segment (start 118.476343 77.040474) (end 118.461649 77.082466) (width 0.125) (layer F.Cu) (net 106)) + (segment (start 118.43798 77.120136) (end 118.406521 77.151595) (width 0.125) (layer F.Cu) (net 106)) + (segment (start 98.716136 77.19494) (end 92.111076 83.8) (width 0.125) (layer F.Cu) (net 106)) + (segment (start 131.181729 70.266063) (end 131.263166 70.3475) (width 0.125) (layer F.Cu) (net 106)) + (segment (start 131.263166 70.3475) (end 132.0675 70.3475) (width 0.125) (layer F.Cu) (net 106)) + (segment (start 132.0675 70.3475) (end 132.115 70.3) (width 0.125) (layer F.Cu) (net 106)) + (segment (start 132.115 70.3) (end 132.64 70.3) (width 0.125) (layer F.Cu) (net 106)) + (segment (start 130.653771 70.128562) (end 130.791272 70.266063) (width 0.125) (layer F.Cu) (net 106)) + (segment (start 130.791272 70.266063) (end 131.181729 70.266063) (width 0.125) (layer F.Cu) (net 106)) + (via (at 130.653771 70.128562) (size 0.4) (drill 0.3) (layers F.Cu B.Cu) (net 106)) + (segment (start 127.71827 70.266063) (end 130.51627 70.266063) (width 0.125) (layer B.Cu) (net 106)) + (segment (start 120.789393 77.19494) (end 127.71827 70.266063) (width 0.125) (layer B.Cu) (net 106)) + (segment (start 120.59494 77.19494) (end 120.789393 77.19494) (width 0.125) (layer B.Cu) (net 106)) + (segment (start 130.51627 70.266063) (end 130.653771 70.128562) (width 0.125) (layer B.Cu) (net 106)) + (via (at 120.59494 77.19494) (size 0.4) (drill 0.3) (layers F.Cu B.Cu) (net 106)) + (segment (start 127.7 69.69) (end 127.837501 69.552499) (width 0.125) (layer F.Cu) (net 107)) + (segment (start 128.425038 69.4525) (end 128.9875 69.4525) (width 0.125) (layer F.Cu) (net 107)) + (segment (start 127.837501 69.552499) (end 128.325039 69.552499) (width 0.125) (layer F.Cu) (net 107)) + (segment (start 128.325039 69.552499) (end 128.425038 69.4525) (width 0.125) (layer F.Cu) (net 107)) + (segment (start 128.9875 69.4525) (end 129.035 69.5) (width 0.125) (layer F.Cu) (net 107)) + (segment (start 129.035 69.5) (end 129.56 69.5) (width 0.125) (layer F.Cu) (net 107)) + (via (at 127.7 69.69) (size 0.4) (drill 0.3) (layers F.Cu B.Cu) (net 107)) + (segment (start 127.562499 69.552499) (end 127.7 69.69) (width 0.125) (layer B.Cu) (net 107)) + (segment (start 120.90506 75.810607) (end 127.163168 69.552499) (width 0.125) (layer B.Cu) (net 107)) + (segment (start 120.90506 76.00506) (end 120.90506 75.810607) (width 0.125) (layer B.Cu) (net 107)) + (segment (start 127.163168 69.552499) (end 127.562499 69.552499) (width 0.125) (layer B.Cu) (net 107)) + (segment (start 120.710607 76.00506) (end 120.90506 76.00506) (width 0.125) (layer F.Cu) (net 107)) + (segment (start 91.7 76.6) (end 91.9475 76.3525) (width 0.125) (layer F.Cu) (net 107)) + (segment (start 120.363167 76.3525) (end 120.710607 76.00506) (width 0.125) (layer F.Cu) (net 107)) + (via (at 120.90506 76.00506) (size 0.4) (drill 0.3) (layers F.Cu B.Cu) (net 107)) + (segment (start 91.9475 76.3525) (end 120.363167 76.3525) (width 0.125) (layer F.Cu) (net 107)) + (segment (start 90.2 76.6) (end 91.7 76.6) (width 0.125) (layer F.Cu) (net 107)) + (segment (start 128.163167 69.247501) (end 128.263168 69.1475) (width 0.125) (layer F.Cu) (net 109)) + (segment (start 128.263168 69.1475) (end 128.9875 69.1475) (width 0.125) (layer F.Cu) (net 109)) + (segment (start 128.9875 69.1475) (end 129.035 69.1) (width 0.125) (layer F.Cu) (net 109)) + (segment (start 129.035 69.1) (end 129.56 69.1) (width 0.125) (layer F.Cu) (net 109)) + (segment (start 127.7 69.11) (end 127.837501 69.247501) (width 0.125) (layer F.Cu) (net 109)) + (segment (start 127.837501 69.247501) (end 128.163167 69.247501) (width 0.125) (layer F.Cu) (net 109)) + (via (at 127.7 69.11) (size 0.4) (drill 0.3) (layers F.Cu B.Cu) (net 109)) + (segment (start 120.49494 75.59494) (end 120.689393 75.59494) (width 0.125) (layer B.Cu) (net 109)) + (segment (start 120.689393 75.59494) (end 127.036832 69.247501) (width 0.125) (layer B.Cu) (net 109)) + (segment (start 127.036832 69.247501) (end 127.562499 69.247501) (width 0.125) (layer B.Cu) (net 109)) + (segment (start 127.562499 69.247501) (end 127.7 69.11) (width 0.125) (layer B.Cu) (net 109)) + (via (at 120.49494 75.59494) (size 0.4) (drill 0.3) (layers F.Cu B.Cu) (net 109)) + (segment (start 90.2 75.8) (end 91.7 75.8) (width 0.125) (layer F.Cu) (net 109)) + (segment (start 91.7 75.8) (end 91.9475 76.0475) (width 0.125) (layer F.Cu) (net 109)) + (segment (start 91.9475 76.0475) (end 120.236833 76.0475) (width 0.125) (layer F.Cu) (net 109)) + (segment (start 120.236833 76.0475) (end 120.49494 75.789393) (width 0.125) (layer F.Cu) (net 109)) + (segment (start 120.49494 75.789393) (end 120.49494 75.59494) (width 0.125) (layer F.Cu) (net 109)) + +) diff --git a/reform-motherboard.net b/reform-motherboard.net @@ -0,0 +1,1687 @@ +(export (version D) + (design + (source /home/mntmn/code/mnt-computer/trex-slim/reform-motherboard/reform-motherboard.sch) + (date "Thu 09 Nov 2017 07:36:14 PM CET") + (tool "Eeschema 4.0.7+dfsg1-1") + (sheet (number 1) (name /) (tstamps /) + (title_block + (title "Reform Baseboard for TinyRex") + (company "MNT Media and Technology UG") + (rev 1) + (date 2017-10-27) + (source reform-motherboard.sch) + (comment (number 1) (value "Lukas F. Hartmann / @mntmn")) + (comment (number 2) (value "")) + (comment (number 3) (value "")) + (comment (number 4) (value "")))) + (sheet (number 2) (name /ReformPower/) (tstamps /59F39178/) + (title_block + (title) + (company) + (rev) + (date) + (source reform-power.sch) + (comment (number 1) (value "")) + (comment (number 2) (value "")) + (comment (number 3) (value "")) + (comment (number 4) (value "")))) + (sheet (number 3) (name /ReformSATA_SD/) (tstamps /59F3B156/) + (title_block + (title) + (company) + (rev) + (date) + (source reform-sata-sd.sch) + (comment (number 1) (value "")) + (comment (number 2) (value "")) + (comment (number 3) (value "")) + (comment (number 4) (value "")))) + (sheet (number 4) (name /ReformPCIe/) (tstamps /59F3D3B4/) + (title_block + (title) + (company) + (rev) + (date) + (source reform-pcie.sch) + (comment (number 1) (value "")) + (comment (number 2) (value "")) + (comment (number 3) (value "")) + (comment (number 4) (value "")))) + (sheet (number 5) (name /reform-eth-usb/) (tstamps /5A021F5C/) + (title_block + (title) + (company) + (rev) + (date) + (source reform-eth-usb.sch) + (comment (number 1) (value "")) + (comment (number 2) (value "")) + (comment (number 3) (value "")) + (comment (number 4) (value "")))) + (sheet (number 6) (name /ReformDisplay/) (tstamps /5A0313A2/) + (title_block + (title) + (company) + (rev) + (date) + (source reform-display.sch) + (comment (number 1) (value "")) + (comment (number 2) (value "")) + (comment (number 3) (value "")) + (comment (number 4) (value ""))))) + (components + (comp (ref J1) + (value TinyRex-J1-DF40C) + (footprint "df40c-100ds-0:HRS_DF40C-100DS-0.4V(51)") + (datasheet Hirose) + (fields + (field (name Digi-Key_Part_Number) H11615CT-ND) + (field (name Digi-Key_Purchase_URL) "https://www.digikey.de/product-detail/en/hirose-electric-co-ltd/DF40C-100DS-0.4V(51)/H11615CT-ND/1969495?WT.z_cid=ref_snapeda") + (field (name Description) "DF40 Series 100 Position Dual Row 0.4 mm Pitch Surface Mount Vertical Receptacle") + (field (name MP) "DF40C-100DS-0.4V(51)")) + (libsource (lib DF40C-100DS-0.4V_51_) (part TinyRex-J1-DF40C)) + (sheetpath (names /) (tstamps /)) + (tstamp 59F31EE6)) + (comp (ref J2) + (value TinyRex-J2-DF40C) + (footprint "df40c-100ds-0:HRS_DF40C-100DS-0.4V(51)") + (datasheet Hirose) + (fields + (field (name Package) None) + (field (name Digi-Key_Part_Number) H11615CT-ND) + (field (name Digi-Key_Purchase_URL) "https://www.digikey.de/product-detail/en/hirose-electric-co-ltd/DF40C-100DS-0.4V(51)/H11615CT-ND/1969495?WT.z_cid=ref_snapeda") + (field (name Description) "DF40 Series 100 Position Dual Row 0.4 mm Pitch Surface Mount Vertical Receptacle") + (field (name MP) "DF40C-100DS-0.4V(51)")) + (libsource (lib DF40C-100DS-0.4V_51_) (part TinyRex-J2-DF40C)) + (sheetpath (names /) (tstamps /)) + (tstamp 59F31F4D)) + (comp (ref J3) + (value TinyRex-J3-DF40C) + (footprint "df40c-100ds-0:HRS_DF40C-100DS-0.4V(51)") + (datasheet Hirose) + (fields + (field (name Package) None) + (field (name Digi-Key_Part_Number) H11615CT-ND) + (field (name Digi-Key_Purchase_URL) "https://www.digikey.de/product-detail/en/hirose-electric-co-ltd/DF40C-100DS-0.4V(51)/H11615CT-ND/1969495?WT.z_cid=ref_snapeda") + (field (name Description) "DF40 Series 100 Position Dual Row 0.4 mm Pitch Surface Mount Vertical Receptacle") + (field (name MP) "DF40C-100DS-0.4V(51)")) + (libsource (lib DF40C-100DS-0.4V_51_) (part TinyRex-J3-DF40C)) + (sheetpath (names /) (tstamps /)) + (tstamp 59F36512)) + (comp (ref J8) + (value TEST_3V) + (footprint Measurement_Points:Test_Point) + (libsource (lib conn) (part TEST_1P)) + (sheetpath (names /) (tstamps /)) + (tstamp 5A048BE3)) + (comp (ref C11) + (value 100nF) + (footprint Capacitors_SMD:C_0603_HandSoldering) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 5A048D1E)) + (comp (ref C12) + (value 22uF) + (footprint Capacitors_SMD:C_0603_HandSoldering) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 5A048D63)) + (comp (ref J12) + (value TEST_1V2) + (footprint Measurement_Points:Test_Point) + (libsource (lib conn) (part TEST_1P)) + (sheetpath (names /) (tstamps /)) + (tstamp 5A049E63)) + (comp (ref J10) + (value TEST_PMIC_ON) + (footprint Measurement_Points:Test_Point) + (libsource (lib conn) (part TEST_1P)) + (sheetpath (names /) (tstamps /)) + (tstamp 5A04B860)) + (comp (ref J11) + (value TEST_ON) + (footprint Measurement_Points:Test_Point) + (libsource (lib conn) (part TEST_1P)) + (sheetpath (names /) (tstamps /)) + (tstamp 5A04B954)) + (comp (ref J4) + (value Micro_SD_Card_Det) + (footprint Connect:microSD_Card_Receptacle_Wuerth_693072010801) + (libsource (lib conn) (part Micro_SD_Card_Det)) + (sheetpath (names /ReformSATA_SD/) (tstamps /59F3B156/)) + (tstamp 59F3B502)) + (comp (ref P1) + (value 5622-4100-ML) + (footprint 5622-4100-ML:3M_5622-4100-ML) + (datasheet 5622-4100-ML) + (fields + (field (name Price) "2.22 USD") + (field (name MF) 3M) + (field (name Package) None) + (field (name Description) "Conn SATA PL 15Power/7Signal POS 1.27mm Solder RA Thru-Hole 22 Terminal 1 Port") + (field (name Availability) Good)) + (libsource (lib 5622-4100-ML) (part 5622-4100-ML)) + (sheetpath (names /ReformSATA_SD/) (tstamps /59F3B156/)) + (tstamp 59F3B793)) + (comp (ref R1) + (value 0) + (footprint Resistors_SMD:R_0603_HandSoldering) + (libsource (lib device) (part R)) + (sheetpath (names /ReformSATA_SD/) (tstamps /59F3B156/)) + (tstamp 59F3C913)) + (comp (ref C1) + (value 100nF) + (footprint Capacitors_SMD:C_0603_HandSoldering) + (libsource (lib device) (part C_Small)) + (sheetpath (names /ReformSATA_SD/) (tstamps /59F3B156/)) + (tstamp 59F3CE15)) + (comp (ref U1) + (value MPCIE-Socket) + (footprint mpcie:mpcie-socket) + (libsource (lib mpcie) (part MPCIE-Socket)) + (sheetpath (names /ReformPCIe/) (tstamps /59F3D3B4/)) + (tstamp 59F3D5C6)) + (comp (ref C7) + (value 100nF) + (footprint Capacitors_SMD:C_0603_HandSoldering) + (libsource (lib device) (part C_Small)) + (sheetpath (names /ReformPCIe/) (tstamps /59F3D3B4/)) + (tstamp 59F3EE50)) + (comp (ref C8) + (value 100nF) + (footprint Capacitors_SMD:C_0603_HandSoldering) + (libsource (lib device) (part C_Small)) + (sheetpath (names /ReformPCIe/) (tstamps /59F3D3B4/)) + (tstamp 59F3EFC2)) + (comp (ref C9) + (value 100nF) + (footprint Capacitors_SMD:C_0603_HandSoldering) + (libsource (lib device) (part C_Small)) + (sheetpath (names /ReformPCIe/) (tstamps /59F3D3B4/)) + (tstamp 59F3EFDF)) + (comp (ref C2) + (value 100nF) + (footprint Capacitors_SMD:C_0603_HandSoldering) + (libsource (lib device) (part C_Small)) + (sheetpath (names /ReformPCIe/) (tstamps /59F3D3B4/)) + (tstamp 59F3F360)) + (comp (ref C3) + (value 100nF) + (footprint Capacitors_SMD:C_0603_HandSoldering) + (libsource (lib device) (part C_Small)) + (sheetpath (names /ReformPCIe/) (tstamps /59F3D3B4/)) + (tstamp 59F3F41B)) + (comp (ref C4) + (value 100nF) + (footprint Capacitors_SMD:C_0603_HandSoldering) + (libsource (lib device) (part C_Small)) + (sheetpath (names /ReformPCIe/) (tstamps /59F3D3B4/)) + (tstamp 59F3F732)) + (comp (ref C5) + (value 100nF) + (footprint Capacitors_SMD:C_0603_HandSoldering) + (libsource (lib device) (part C_Small)) + (sheetpath (names /ReformPCIe/) (tstamps /59F3D3B4/)) + (tstamp 59F3F84A)) + (comp (ref C6) + (value 100nF) + (footprint Capacitors_SMD:C_0603_HandSoldering) + (libsource (lib device) (part C_Small)) + (sheetpath (names /ReformPCIe/) (tstamps /59F3D3B4/)) + (tstamp 59F3F8A4)) + (comp (ref C10) + (value 4.7uF) + (footprint Capacitors_SMD:C_0603_HandSoldering) + (libsource (lib device) (part C_Small)) + (sheetpath (names /ReformPCIe/) (tstamps /59F3D3B4/)) + (tstamp 59F3FCE3)) + (comp (ref J5) + (value USB_A) + (footprint Connect:USB_A) + (libsource (lib conn) (part USB_A)) + (sheetpath (names /reform-eth-usb/) (tstamps /5A021F5C/)) + (tstamp 5A02373C)) + (comp (ref J7) + (value USB_A) + (footprint Connect:USB_A) + (libsource (lib conn) (part USB_A)) + (sheetpath (names /reform-eth-usb/) (tstamps /5A021F5C/)) + (tstamp 5A0237A7)) + (comp (ref J9) + (value USB_A) + (footprint Connect:USB_A) + (libsource (lib conn) (part USB_A)) + (sheetpath (names /reform-eth-usb/) (tstamps /5A021F5C/)) + (tstamp 5A023813)) + (comp (ref J6) + (value Audio-Jack-3) + (footprint Connect:PJ320D_3.5mm_Jack) + (libsource (lib conn) (part Audio-Jack-3)) + (sheetpath (names /reform-eth-usb/) (tstamps /5A021F5C/)) + (tstamp 5A023B3B)) + (comp (ref P2) + (value J0G-0003NL) + (footprint J0G-0003NL:PULSE_J0G-0003NL) + (datasheet "Conn RJ-45 Integrated Magnetics F 8 POS 2.03mm Solder RA Thru-Hole 17 Terminal 1 Port") + (fields + (field (name MF) Pulse) + (field (name Availability) Good) + (field (name Price) "7.33 USD") + (field (name MP) J0G-0003NL) + (field (name Package) None)) + (libsource (lib J0G-0003NL) (part J0G-0003NL)) + (sheetpath (names /reform-eth-usb/) (tstamps /5A021F5C/)) + (tstamp 5A04FC73)) + (comp (ref C13) + (value 100n) + (footprint Capacitors_SMD:C_0603_HandSoldering) + (libsource (lib device) (part C_Small)) + (sheetpath (names /reform-eth-usb/) (tstamps /5A021F5C/)) + (tstamp 5A04FD85)) + (comp (ref C14) + (value 100n) + (footprint Capacitors_SMD:C_0603_HandSoldering) + (libsource (lib device) (part C_Small)) + (sheetpath (names /reform-eth-usb/) (tstamps /5A021F5C/)) + (tstamp 5A05122D)) + (comp (ref C15) + (value 100n) + (footprint Capacitors_SMD:C_0603_HandSoldering) + (libsource (lib device) (part C_Small)) + (sheetpath (names /reform-eth-usb/) (tstamps /5A021F5C/)) + (tstamp 5A051336)) + (comp (ref C16) + (value 100n) + (footprint Capacitors_SMD:C_0603_HandSoldering) + (libsource (lib device) (part C_Small)) + (sheetpath (names /reform-eth-usb/) (tstamps /5A021F5C/)) + (tstamp 5A0513CA)) + (comp (ref C17) + (value 100n) + (footprint Capacitors_SMD:C_0603_HandSoldering) + (libsource (lib device) (part C_Small)) + (sheetpath (names /reform-eth-usb/) (tstamps /5A021F5C/)) + (tstamp 5A05141B)) + (comp (ref J14) + (value LVDS_Conn) + (footprint Pin_Headers:Pin_Header_Angled_2x08_Pitch2.54mm) + (libsource (lib conn) (part Conn_02x08_Odd_Even)) + (sheetpath (names /ReformDisplay/) (tstamps /5A0313A2/)) + (tstamp 5A0313D4)) + (comp (ref J13) + (value Conn_HDMI) + (footprint 685119134923:685119134923) + (libsource (lib conn_hdmi) (part Conn_HDMI)) + (sheetpath (names /ReformDisplay/) (tstamps /5A0313A2/)) + (tstamp 5A04EB26))) + (libparts + (libpart (lib 5622-4100-ML) (part 5622-4100-ML) + (fields + (field (name Reference) P) + (field (name Value) 5622-4100-ML) + (field (name Footprint) 3M_5622-4100-ML) + (field (name Datasheet) 5622-4100-ML) + (field (name Price) "2.22 USD") + (field (name MF) 3M) + (field (name Package) None) + (field (name Description) "Conn SATA PL 15Power/7Signal POS 1.27mm Solder RA Thru-Hole 22 Terminal 1 Port") + (field (name Availability) Good)) + (pins + (pin (num P1) (name V33@1) (type input)) + (pin (num P2) (name V33@2) (type input)) + (pin (num P3) (name V33@3) (type input)) + (pin (num P4) (name GND@4) (type input)) + (pin (num P5) (name GND@5) (type input)) + (pin (num P6) (name GND@6) (type input)) + (pin (num P7) (name V5@1) (type input)) + (pin (num P8) (name V5@2) (type input)) + (pin (num P9) (name V5@3) (type input)) + (pin (num P10) (name GND@7) (type input)) + (pin (num P11) (name RSVD) (type BiDi)) + (pin (num P12) (name GND@8) (type input)) + (pin (num P13) (name V12@1) (type input)) + (pin (num P14) (name V12@2) (type input)) + (pin (num P15) (name V12@3) (type input)) + (pin (num S1) (name GND@1) (type input)) + (pin (num S2) (name A+) (type BiDi)) + (pin (num S3) (name A-) (type BiDi)) + (pin (num S4) (name GND@2) (type input)) + (pin (num S5) (name B-) (type BiDi)) + (pin (num S6) (name B+) (type BiDi)) + (pin (num S7) (name GND@3) (type input)))) + (libpart (lib conn) (part Audio-Jack-3) + (description "3-pin audio jack receptable (stereo/TRS connector)") + (docs ~) + (fields + (field (name Reference) J) + (field (name Value) Audio-Jack-3)) + (pins + (pin (num 1) (name ~) (type passive)) + (pin (num 2) (name ~) (type passive)) + (pin (num 3) (name ~) (type passive)))) + (libpart (lib device) (part C_Small) + (description "Unpolarized capacitor") + (footprints + (fp C_*)) + (fields + (field (name Reference) C) + (field (name Value) C_Small)) + (pins + (pin (num 1) (name ~) (type passive)) + (pin (num 2) (name ~) (type passive)))) + (libpart (lib conn) (part Conn_02x08_Odd_Even) + (description "Generic connector, double row, 02x08, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers)") + (docs ~) + (footprints + (fp Connector*:*2x??x*mm*) + (fp Connector*:*2x???Pitch*) + (fp Pin_Header_Straight_2X*) + (fp Pin_Header_Angled_2X*) + (fp Socket_Strip_Straight_2X*) + (fp Socket_Strip_Angled_2X*)) + (fields + (field (name Reference) J) + (field (name Value) Conn_02x08_Odd_Even)) + (pins + (pin (num 1) (name Pin_1) (type passive)) + (pin (num 2) (name Pin_2) (type passive)) + (pin (num 3) (name Pin_3) (type passive)) + (pin (num 4) (name Pin_4) (type passive)) + (pin (num 5) (name Pin_5) (type passive)) + (pin (num 6) (name Pin_6) (type passive)) + (pin (num 7) (name Pin_7) (type passive)) + (pin (num 8) (name Pin_8) (type passive)) + (pin (num 9) (name Pin_9) (type passive)) + (pin (num 10) (name Pin_10) (type passive)) + (pin (num 11) (name Pin_11) (type passive)) + (pin (num 12) (name Pin_12) (type passive)) + (pin (num 13) (name Pin_13) (type passive)) + (pin (num 14) (name Pin_14) (type passive)) + (pin (num 15) (name Pin_15) (type passive)) + (pin (num 16) (name Pin_16) (type passive)))) + (libpart (lib conn_hdmi) (part Conn_HDMI) + (description "Generic connector, double row, 02x10, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers)") + (docs ~) + (footprints + (fp Connector*:*2x??x*mm*) + (fp Connector*:*2x???Pitch*) + (fp Pin_Header_Straight_2X*) + (fp Pin_Header_Angled_2X*) + (fp Socket_Strip_Straight_2X*) + (fp Socket_Strip_Angled_2X*)) + (fields + (field (name Reference) J) + (field (name Value) Conn_HDMI)) + (pins + (pin (num 1) (name Pin_1) (type passive)) + (pin (num 2) (name Pin_2) (type passive)) + (pin (num 3) (name Pin_3) (type passive)) + (pin (num 4) (name Pin_4) (type passive)) + (pin (num 5) (name Pin_5) (type passive)) + (pin (num 6) (name Pin_6) (type passive)) + (pin (num 7) (name Pin_7) (type passive)) + (pin (num 8) (name Pin_8) (type passive)) + (pin (num 9) (name Pin_9) (type passive)) + (pin (num 10) (name Pin_10) (type passive)) + (pin (num 11) (name Pin_11) (type passive)) + (pin (num 12) (name Pin_12) (type passive)) + (pin (num 13) (name Pin_13) (type passive)) + (pin (num 14) (name Pin_14) (type passive)) + (pin (num 15) (name Pin_15) (type passive)) + (pin (num 16) (name Pin_16) (type passive)) + (pin (num 17) (name Pin_17) (type passive)) + (pin (num 18) (name Pin_18) (type passive)) + (pin (num 19) (name Pin_19) (type passive)))) + (libpart (lib J0G-0003NL) (part J0G-0003NL) + (fields + (field (name Reference) P) + (field (name Value) J0G-0003NL) + (field (name Footprint) PULSE_J0G-0003NL) + (field (name Datasheet) "Conn RJ-45 Integrated Magnetics F 8 POS 2.03mm Solder RA Thru-Hole 17 Terminal 1 Port") + (field (name MF) Pulse) + (field (name Availability) Good) + (field (name Price) "7.33 USD") + (field (name MP) J0G-0003NL) + (field (name Package) None)) + (pins + (pin (num 1) (name TRCT3) (type passive)) + (pin (num 2) (name TRD3-) (type passive)) + (pin (num 3) (name TRD3+) (type passive)) + (pin (num 4) (name TRD2+) (type passive)) + (pin (num 5) (name TRD2-) (type passive)) + (pin (num 6) (name TRCT2) (type passive)) + (pin (num 7) (name TRCT4) (type passive)) + (pin (num 8) (name TRD4+) (type passive)) + (pin (num 9) (name TRD4-) (type passive)) + (pin (num 10) (name TDR1-) (type passive)) + (pin (num 11) (name TDR1+) (type passive)) + (pin (num 12) (name TRCT1) (type passive)) + (pin (num 13) (name YEL-) (type passive)) + (pin (num 14) (name YEL+) (type passive)) + (pin (num 15) (name ORN-) (type passive)) + (pin (num 16) (name COM+) (type passive)) + (pin (num 17) (name GRN-) (type passive)) + (pin (num SH1) (name SHIELD) (type input)) + (pin (num SH2) (name SHIELD) (type input)))) + (libpart (lib mpcie) (part MPCIE-Socket) + (fields + (field (name Reference) U) + (field (name Value) MPCIE-Socket)) + (pins + (pin (num 1) (name ~WAKE) (type BiDi)) + (pin (num 2) (name +3.3Vaux) (type power_in)) + (pin (num 3) (name COEX1) (type BiDi)) + (pin (num 4) (name GND) (type power_in)) + (pin (num 5) (name COEX2) (type BiDi)) + (pin (num 6) (name +1.5V) (type power_in)) + (pin (num 7) (name ~CLKREQ) (type BiDi)) + (pin (num 8) (name UIM_PWR) (type BiDi)) + (pin (num 9) (name GND) (type power_in)) + (pin (num 10) (name UIM_DATA) (type BiDi)) + (pin (num 11) (name RefClk-) (type input)) + (pin (num 12) (name UIM_CLK) (type BiDi)) + (pin (num 13) (name RefClk+) (type input)) + (pin (num 14) (name UIM_RESET) (type BiDi)) + (pin (num 15) (name GND) (type power_in)) + (pin (num 16) (name UIM_VPP) (type BiDi)) + (pin (num 17) (name UIM_C8) (type BiDi)) + (pin (num 18) (name GND) (type power_in)) + (pin (num 19) (name UIM_C4) (type BiDi)) + (pin (num 20) (name ~W_DISABLE) (type BiDi)) + (pin (num 21) (name GND) (type power_in)) + (pin (num 22) (name ~PERST) (type BiDi)) + (pin (num 23) (name PERn0) (type BiDi)) + (pin (num 24) (name +3.3Vaux) (type power_in)) + (pin (num 25) (name PERp0) (type BiDi)) + (pin (num 26) (name GND) (type power_in)) + (pin (num 27) (name GND) (type power_in)) + (pin (num 28) (name +1.5V) (type power_in)) + (pin (num 29) (name GND) (type power_in)) + (pin (num 30) (name SMB_CLK) (type BiDi)) + (pin (num 31) (name PETn0) (type BiDi)) + (pin (num 32) (name SMB_DATA) (type BiDi)) + (pin (num 33) (name PETp0) (type BiDi)) + (pin (num 34) (name GND) (type power_in)) + (pin (num 35) (name GND) (type power_in)) + (pin (num 36) (name USB_D-) (type BiDi)) + (pin (num 37) (name GND) (type power_in)) + (pin (num 38) (name USB_D+) (type BiDi)) + (pin (num 39) (name +3.3Aux) (type power_in)) + (pin (num 40) (name GND) (type power_in)) + (pin (num 41) (name +3.3Aux) (type power_in)) + (pin (num 42) (name ~LED_WWAN) (type BiDi)) + (pin (num 43) (name GND) (type power_in)) + (pin (num 44) (name ~LED_WLAN) (type BiDi)) + (pin (num 45) (name Reserved) (type NotConnected)) + (pin (num 46) (name ~LED_WPAN) (type BiDi)) + (pin (num 47) (name Reserved) (type NotConnected)) + (pin (num 48) (name +1.5V) (type power_in)) + (pin (num 49) (name Reserved) (type NotConnected)) + (pin (num 50) (name GND) (type power_in)) + (pin (num 51) (name Reserved) (type NotConnected)) + (pin (num 52) (name +3.3Vaux) (type power_in)))) + (libpart (lib conn) (part Micro_SD_Card_Det) + (aliases + (alias Micro_SD_Card_Det_Hirose_DM3AT)) + (description "Micro SD Card Socket with card detection pins") + (docs https://www.hirose.com/product/en/download_file/key_name/DM3/category/Catalog/doc_file_id/49662/?file_category_id=4&item_id=195&is_series=1) + (footprints + (fp microSD*Card*Receptable*)) + (fields + (field (name Reference) J) + (field (name Value) Micro_SD_Card_Det)) + (pins + (pin (num 1) (name DAT2) (type BiDi)) + (pin (num 2) (name DAT3/CD) (type BiDi)) + (pin (num 3) (name CMD) (type input)) + (pin (num 4) (name VDD) (type power_in)) + (pin (num 5) (name CLK) (type input)) + (pin (num 6) (name VSS) (type power_in)) + (pin (num 7) (name DAT0) (type input)) + (pin (num 8) (name DAT1) (type input)) + (pin (num 9) (name DET_B) (type passive)) + (pin (num 10) (name DET_A) (type passive)) + (pin (num 11) (name SHIELD) (type passive)))) + (libpart (lib device) (part R) + (description Resistor) + (footprints + (fp R_*) + (fp R_*)) + (fields + (field (name Reference) R) + (field (name Value) R)) + (pins + (pin (num 1) (name ~) (type passive)) + (pin (num 2) (name ~) (type passive)))) + (libpart (lib conn) (part TEST_1P) + (description point) + (fields + (field (name Reference) J) + (field (name Value) TEST_1P)) + (pins + (pin (num 1) (name 1) (type passive)))) + (libpart (lib DF40C-100DS-0.4V_51_) (part TinyRex-J1-DF40C) + (fields + (field (name Reference) J) + (field (name Value) TinyRex-J1-DF40C) + (field (name Footprint) "HRS_DF40C-100DS-0.4V(51)") + (field (name Datasheet) Hirose)) + (pins + (pin (num 1) (name +VIN) (type power_in)) + (pin (num 2) (name +VIN) (type power_in)) + (pin (num 3) (name +VIN) (type power_in)) + (pin (num 4) (name +VIN) (type power_in)) + (pin (num 5) (name GND) (type power_in)) + (pin (num 6) (name +VIN) (type power_in)) + (pin (num 7) (name GND) (type power_in)) + (pin (num 8) (name +VIN) (type power_in)) + (pin (num 9) (name GND) (type power_in)) + (pin (num 10) (name +VIN) (type power_in)) + (pin (num 11) (name GND) (type power_in)) + (pin (num 12) (name +VIN) (type power_in)) + (pin (num 13) (name GND) (type power_in)) + (pin (num 14) (name +VIN) (type power_in)) + (pin (num 15) (name GND) (type power_in)) + (pin (num 16) (name +VIN) (type power_in)) + (pin (num 17) (name GND) (type power_in)) + (pin (num 18) (name +VIN) (type power_in)) + (pin (num 19) (name GND) (type power_in)) + (pin (num 20) (name +VIN) (type power_in)) + (pin (num 21) (name GND) (type power_in)) + (pin (num 22) (name +3V3) (type power_in)) + (pin (num 23) (name GND) (type power_in)) + (pin (num 24) (name +3V3) (type power_in)) + (pin (num 25) (name LVDS0_CLK_N) (type output)) + (pin (num 26) (name LVDS0_TX0_N) (type output)) + (pin (num 27) (name LVDS0_CLK_P) (type output)) + (pin (num 28) (name LVDS0_TX0_P) (type output)) + (pin (num 29) (name GND) (type power_in)) + (pin (num 30) (name GND) (type power_in)) + (pin (num 31) (name LVDS0_TX2_N) (type output)) + (pin (num 32) (name LVDS0_TX1_N) (type output)) + (pin (num 33) (name LVDS0_TX2_P) (type output)) + (pin (num 34) (name LVDS0_TX1_P) (type output)) + (pin (num 35) (name GND) (type power_in)) + (pin (num 36) (name GND) (type power_in)) + (pin (num 37) (name LVDS0_TX3_N) (type output)) + (pin (num 38) (name LVDS0_PWM) (type BiDi)) + (pin (num 39) (name LVDS0_TX3_P) (type output)) + (pin (num 40) (name LVDS0_CABC) (type BiDi)) + (pin (num 41) (name GND) (type power_in)) + (pin (num 42) (name +3V3) (type power_in)) + (pin (num 43) (name VID_IN_CSI0_PIXCLK) (type input)) + (pin (num 44) (name +3V3) (type power_in)) + (pin (num 45) (name VID_IN_CSI0_VS) (type input)) + (pin (num 46) (name +3V3) (type power_in)) + (pin (num 47) (name VID_IN_CSI0_HS) (type input)) + (pin (num 48) (name VID_IN_CSI0_D0) (type input)) + (pin (num 49) (name GND) (type power_in)) + (pin (num 50) (name VID_IN_CSI0_D1) (type input)) + (pin (num 51) (name VID_IN_CSI0_D4) (type input)) + (pin (num 52) (name VID_IN_CSI0_D2) (type input)) + (pin (num 53) (name VID_IN_CSI0_D5) (type input)) + (pin (num 54) (name VID_IN_CSI0_D3) (type input)) + (pin (num 55) (name VID_IN_CSI0_D6) (type input)) + (pin (num 56) (name GND) (type power_in)) + (pin (num 57) (name VID_IN_CSI0_D7) (type input)) + (pin (num 58) (name VID_IN_CSI0_D8) (type input)) + (pin (num 59) (name GND) (type power_in)) + (pin (num 60) (name VID_IN_CSI0_D9) (type passive)) + (pin (num 61) (name VID_IN_CSI0_D12) (type input)) + (pin (num 62) (name VID_IN_CSI0_D10) (type passive)) + (pin (num 63) (name VID_IN_CSI0_D13) (type input)) + (pin (num 64) (name VID_IN_CSI0_D11) (type passive)) + (pin (num 65) (name VID_IN_CSI0_D14) (type input)) + (pin (num 66) (name GND) (type passive)) + (pin (num 67) (name VID_IN_CSI0_D15) (type input)) + (pin (num 68) (name VID_IN_CSI0_D16) (type input)) + (pin (num 69) (name +3V0_ALWAYS_BB) (type power_out)) + (pin (num 70) (name VID_IN_CSI0_D17) (type input)) + (pin (num 71) (name VID_IN_CSI0_RSTn) (type output)) + (pin (num 72) (name VID_IN_CSI0_D18) (type input)) + (pin (num 73) (name VID_IN_CSI0_INT) (type input)) + (pin (num 74) (name VID_IN_CSI0_D19) (type input)) + (pin (num 75) (name VID_IN_CSI0_DE) (type input)) + (pin (num 76) (name +5V_BB) (type power_in)) + (pin (num 77) (name +3V0_ALWAYS_BB) (type power_out)) + (pin (num 78) (name HDMI_HPD) (type input)) + (pin (num 79) (name HDMI_D1_N) (type output)) + (pin (num 80) (name HDMI_CEC_IN) (type output)) + (pin (num 81) (name HDMI_D1_P) (type output)) + (pin (num 82) (name HDMI_CEC_STBY) (type output)) + (pin (num 83) (name GND) (type power_in)) + (pin (num 84) (name +5V_BB) (type power_in)) + (pin (num 85) (name HDMI_D2_N) (type output)) + (pin (num 86) (name HDMI_D0_N) (type output)) + (pin (num 87) (name HDMI_D2_P) (type output)) + (pin (num 88) (name HDMI_D0_P) (type output)) + (pin (num 89) (name GND) (type power_in)) + (pin (num 90) (name GND) (type input)) + (pin (num 91) (name DSI_D0_N) (type input)) + (pin (num 92) (name HDMI_CLK_N) (type output)) + (pin (num 93) (name DSI_D0_P) (type input)) + (pin (num 94) (name HDMI_CLK_P) (type output)) + (pin (num 95) (name GND) (type power_in)) + (pin (num 96) (name GND) (type power_in)) + (pin (num 97) (name DSI_D1_N) (type input)) + (pin (num 98) (name DSI_CLK0_N) (type input)) + (pin (num 99) (name DSI_D1_P) (type input)) + (pin (num 100) (name DSI_CLK0_P) (type input)))) + (libpart (lib DF40C-100DS-0.4V_51_) (part TinyRex-J2-DF40C) + (fields + (field (name Reference) J) + (field (name Value) TinyRex-J2-DF40C) + (field (name Footprint) "HRS_DF40C-100DS-0.4V(51)") + (field (name Datasheet) Hirose)) + (pins + (pin (num 1) (name BOOT_MODE1_CON) (type input)) + (pin (num 2) (name RSTINn) (type input)) + (pin (num 3) (name BOOT_MODE0_CON) (type input)) + (pin (num 4) (name RSTOUTn) (type output)) + (pin (num 5) (name POK_1V5) (type output)) + (pin (num 6) (name PMIC_ON_REQ) (type output)) + (pin (num 7) (name POK_5V_BB) (type input)) + (pin (num 8) (name ON_OFF) (type input)) + (pin (num 9) (name POK_3V3_BB) (type openCol)) + (pin (num 10) (name USER_BUTTON) (type BiDi)) + (pin (num 11) (name GND) (type power_in)) + (pin (num 12) (name GND) (type power_in)) + (pin (num 13) (name CPU_GPIO0) (type BiDi)) + (pin (num 14) (name AUD4_CLK) (type BiDi)) + (pin (num 15) (name CPU_GPIO1) (type BiDi)) + (pin (num 16) (name AUD4_TXC) (type BiDi)) + (pin (num 17) (name GPIO_OR_PWM_1) (type output)) + (pin (num 18) (name AUD4_TXFS) (type BiDi)) + (pin (num 19) (name GPIO_OR_PWM_2) (type output)) + (pin (num 20) (name AUD4_TXD) (type BiDi)) + (pin (num 21) (name GND) (type power_in)) + (pin (num 22) (name AUD4_RXD) (type BiDi)) + (pin (num 23) (name CSPI1_CLK) (type BiDi)) + (pin (num 24) (name GND) (type power_in)) + (pin (num 25) (name CSPI1_MOSI) (type BiDi)) + (pin (num 26) (name I2C1_SCL) (type BiDi)) + (pin (num 27) (name CSPI1_MISO) (type BiDi)) + (pin (num 28) (name I2C1_SDA) (type BiDi)) + (pin (num 29) (name CSPI1_CS0) (type BiDi)) + (pin (num 30) (name FLEXCAN1_TX) (type output)) + (pin (num 31) (name GND) (type power_in)) + (pin (num 32) (name FLEXCAN1_RX) (type input)) + (pin (num 33) (name SD3_CD) (type BiDi)) + (pin (num 34) (name GND) (type power_in)) + (pin (num 35) (name SD3_WP) (type BiDi)) + (pin (num 36) (name SATA_RX_N) (type input)) + (pin (num 37) (name SD3_CLK) (type output)) + (pin (num 38) (name SATA_RX_P) (type input)) + (pin (num 39) (name SD3_CMD) (type BiDi)) + (pin (num 40) (name GND) (type power_in)) + (pin (num 41) (name GND) (type power_in)) + (pin (num 42) (name SATA_TX_N) (type output)) + (pin (num 43) (name SD3_DATA0) (type BiDi)) + (pin (num 44) (name SATA_TX_P) (type output)) + (pin (num 45) (name SD3_DATA1) (type BiDi)) + (pin (num 46) (name GND) (type power_in)) + (pin (num 47) (name SD3_DATA2) (type BiDi)) + (pin (num 48) (name I2C2_SCL) (type BiDi)) + (pin (num 49) (name SD3_DATA3) (type BiDi)) + (pin (num 50) (name I2C2_SDA) (type BiDi)) + (pin (num 51) (name GND) (type power_in)) + (pin (num 52) (name I2C3_SDA) (type BiDi)) + (pin (num 53) (name UART1_TXD) (type output)) + (pin (num 54) (name I2C3_SCL) (type BiDi)) + (pin (num 55) (name UART1_RXD) (type input)) + (pin (num 56) (name GND) (type power_in)) + (pin (num 57) (name UART1_RTS) (type output)) + (pin (num 58) (name UART4_TXD) (type output)) + (pin (num 59) (name UART1_CTS) (type input)) + (pin (num 60) (name UART4_RXD) (type input)) + (pin (num 61) (name UART2_TXD) (type output)) + (pin (num 62) (name UART5_TXD) (type output)) + (pin (num 63) (name UART2_RXD) (type input)) + (pin (num 64) (name UART5_RXD) (type input)) + (pin (num 65) (name GND) (type power_in)) + (pin (num 66) (name GND) (type power_in)) + (pin (num 67) (name USB1_N) (type BiDi)) + (pin (num 68) (name USB0_ID) (type input)) + (pin (num 69) (name USB1_P) (type BiDi)) + (pin (num 70) (name USB_OC) (type input)) + (pin (num 71) (name GND) (type power_in)) + (pin (num 72) (name USB1_PWR_EN) (type output)) + (pin (num 73) (name USB0_N) (type BiDi)) + (pin (num 74) (name USB0_PWR_EN) (type output)) + (pin (num 75) (name USB0_P) (type BiDi)) + (pin (num 76) (name PCIE_WAKE) (type openCol)) + (pin (num 77) (name GND) (type power_in)) + (pin (num 78) (name GND) (type power_in)) + (pin (num 79) (name PCIE_TX_N) (type output)) + (pin (num 80) (name PCIE_CLK_N) (type output)) + (pin (num 81) (name PCIE_TX_P) (type output)) + (pin (num 82) (name PCIE_CLK_P) (type output)) + (pin (num 83) (name GND) (type power_in)) + (pin (num 84) (name GND) (type power_in)) + (pin (num 85) (name PCIE_RX_N) (type input)) + (pin (num 86) (name CSI_CLK0_N) (type input)) + (pin (num 87) (name PCIE_RX_P) (type input)) + (pin (num 88) (name CSI_CLK_P) (type input)) + (pin (num 89) (name GND) (type power_in)) + (pin (num 90) (name GND) (type power_in)) + (pin (num 91) (name CSI_D2_N) (type input)) + (pin (num 92) (name CSI_D0_N) (type input)) + (pin (num 93) (name CSI_D2_P) (type input)) + (pin (num 94) (name CSI_D0_P) (type input)) + (pin (num 96) (name GND) (type power_in)) + (pin (num 97) (name CSI_D3_N) (type input)) + (pin (num 98) (name CSI_D1_N) (type input)) + (pin (num 99) (name CSI_D3_P) (type input)) + (pin (num 100) (name CSI_D1_P) (type input)) + (pin (num CSI_) (name GND) (type power_in)))) + (libpart (lib DF40C-100DS-0.4V_51_) (part TinyRex-J3-DF40C) + (fields + (field (name Reference) J) + (field (name Value) TinyRex-J3-DF40C) + (field (name Footprint) "HRS_DF40C-100DS-0.4V(51)") + (field (name Datasheet) Hirose)) + (pins + (pin (num 1) (name TRD0_N) (type BiDi)) + (pin (num 2) (name TRD2_N) (type BiDi)) + (pin (num 3) (name TRD0_P) (type BiDi)) + (pin (num 4) (name TRD2_P) (type BiDi)) + (pin (num 5) (name GND) (type power_in)) + (pin (num 6) (name +1V2_BB) (type power_in)) + (pin (num 7) (name TRD1_N) (type BiDi)) + (pin (num 8) (name TRD3_N) (type BiDi)) + (pin (num 9) (name TRD1_P) (type BiDi)) + (pin (num 10) (name TRD3_P) (type BiDi)) + (pin (num 11) (name GND) (type power_in)) + (pin (num 12) (name +1V2_BB) (type power_in)) + (pin (num 13) (name DISP1_INT) (type BiDi)) + (pin (num 14) (name ENET_LED_LINK) (type output)) + (pin (num 15) (name DISP1_CS1) (type output)) + (pin (num 16) (name ENET_LED_RX) (type output)) + (pin (num 17) (name DISP1_CS0) (type output)) + (pin (num 18) (name DISP1_RSTn) (type BiDi)) + (pin (num 19) (name DISP1_D/CX) (type output)) + (pin (num 20) (name +1V2_BB) (type power_in)) + (pin (num 21) (name DISP1_RDX) (type output)) + (pin (num 22) (name DISP1_PIXCLK) (type BiDi)) + (pin (num 23) (name DISP1_WRX) (type output)) + (pin (num 24) (name DISP1_VSYNCH) (type BiDi)) + (pin (num 25) (name GND) (type power_in)) + (pin (num 26) (name DISP1_HSYNCH) (type BiDi)) + (pin (num 27) (name DISP1_D0) (type BiDi)) + (pin (num 28) (name GND) (type power_in)) + (pin (num 29) (name DISP1_D1) (type BiDi)) + (pin (num 30) (name DISP1_D4) (type BiDi)) + (pin (num 31) (name DISP1_D2) (type BiDi)) + (pin (num 32) (name DISP1_D5) (type BiDi)) + (pin (num 33) (name DISP1_D3) (type BiDi)) + (pin (num 34) (name DISP1_D6) (type BiDi)) + (pin (num 35) (name GND) (type power_in)) + (pin (num 36) (name DISP1_D7) (type BiDi)) + (pin (num 37) (name DISP1_D8) (type BiDi)) + (pin (num 38) (name GND) (type power_in)) + (pin (num 39) (name DISP1_D9) (type BiDi)) + (pin (num 40) (name DISP1_D12) (type BiDi)) + (pin (num 41) (name DISP1_D10) (type BiDi)) + (pin (num 42) (name DISP1_D13) (type BiDi)) + (pin (num 43) (name DISP1_D11) (type BiDi)) + (pin (num 44) (name DISP1_D14) (type BiDi)) + (pin (num 45) (name GND) (type power_in)) + (pin (num 46) (name DISP1_D15) (type BiDi)) + (pin (num 47) (name DISP1_D16) (type BiDi)) + (pin (num 48) (name +2V5_BB) (type power_in)) + (pin (num 49) (name DISP1_D17) (type BiDi)) + (pin (num 50) (name SD4_DATA0) (type BiDi)) + (pin (num 51) (name DISP1_D18) (type BiDi)) + (pin (num 52) (name SD4_DATA1) (type BiDi)) + (pin (num 53) (name DISP1_D19) (type BiDi)) + (pin (num 54) (name SD4_DATA2) (type BiDi)) + (pin (num 55) (name GND) (type power_in)) + (pin (num 56) (name SD4_DATA3) (type BiDi)) + (pin (num 57) (name SD4_CLK) (type output)) + (pin (num 58) (name +2V5_BB) (type power_in)) + (pin (num 59) (name SD4_CMD) (type BiDi)) + (pin (num 60) (name SD4_DATA4) (type BiDi)) + (pin (num 61) (name SD4_WP) (type BiDi)) + (pin (num 62) (name SD4_DATA5) (type BiDi)) + (pin (num 63) (name SD4_CD) (type input)) + (pin (num 64) (name SD4_DATA6) (type BiDi)) + (pin (num 65) (name SD1_WP) (type BiDi)) + (pin (num 66) (name SD4_DATA7) (type BiDi)) + (pin (num 67) (name SD1_CD) (type input)) + (pin (num 68) (name GND) (type power_in)) + (pin (num 69) (name GND) (type power_in)) + (pin (num 70) (name CSPI2_CLK) (type BiDi)) + (pin (num 71) (name UART3_TXD) (type output)) + (pin (num 72) (name CSPI2_MOSI) (type BiDi)) + (pin (num 73) (name UART3_RXD) (type input)) + (pin (num 74) (name CSPI2_MISO) (type BiDi)) + (pin (num 75) (name UART3_RTS) (type output)) + (pin (num 76) (name CSPI2_CS0) (type BiDi)) + (pin (num 77) (name UART3_CTS) (type input)) + (pin (num 78) (name CSPI2_CS1) (type BiDi)) + (pin (num 79) (name GND) (type power_in)) + (pin (num 80) (name GND) (type power_in)) + (pin (num 81) (name SD1_DATA4) (type BiDi)) + (pin (num 82) (name SD1_DATA0) (type BiDi)) + (pin (num 83) (name SD1_DATA5) (type BiDi)) + (pin (num 84) (name SD1_DATA1) (type BiDi)) + (pin (num 85) (name SD1_DATA6) (type BiDi)) + (pin (num 86) (name SD1_DATA2) (type BiDi)) + (pin (num 87) (name SD1_DATA7) (type BiDi)) + (pin (num 88) (name SD1_DATA3) (type BiDi)) + (pin (num 89) (name SD1_CLK) (type output)) + (pin (num 90) (name SD1_CMD) (type BiDi)) + (pin (num 91) (name GND) (type power_in)) + (pin (num 92) (name GND) (type power_in)) + (pin (num 93) (name NANDF_D4) (type BiDi)) + (pin (num 94) (name NANDF_ALE) (type output)) + (pin (num 95) (name NANDF_D5) (type BiDi)) + (pin (num 96) (name NANDF_CLE) (type output)) + (pin (num 97) (name NANDF_D6) (type BiDi)) + (pin (num 98) (name NANDF_RB0) (type BiDi)) + (pin (num 99) (name NANDF_D7) (type BiDi)) + (pin (num 100) (name NANDF_WPn) (type output)))) + (libpart (lib conn) (part USB_A) + (description "USB Type A connector") + (footprints + (fp USB*)) + (fields + (field (name Reference) J) + (field (name Value) USB_A)) + (pins + (pin (num 1) (name VBUS) (type power_in)) + (pin (num 2) (name D-) (type passive)) + (pin (num 3) (name D+) (type passive)) + (pin (num 4) (name GND) (type power_in)) + (pin (num 5) (name Shield) (type passive))))) + (libraries + (library (logical conn) + (uri /usr/share/kicad/library/conn.lib)) + (library (logical DF40C-100DS-0.4V_51_) + (uri /home/mntmn/code/mnt-computer/trex-slim/DF40C-100DS-0.4V_51_/DF40C-100DS-0.4V_51_.lib)) + (library (logical 5622-4100-ML) + (uri /home/mntmn/code/mnt-computer/trex-slim/5622-4100-ML/5622-4100-ML.lib)) + (library (logical conn_hdmi) + (uri /home/mntmn/code/mnt-computer/trex-slim/conn_hdmi.lib)) + (library (logical device) + (uri /usr/share/kicad/library/device.lib)) + (library (logical mpcie) + (uri /home/mntmn/code/mnt-computer/trex-slim/kicad-mini-pci-express-master/mpcie.lib)) + (library (logical J0G-0003NL) + (uri /home/mntmn/code/mnt-computer/trex-slim/J0G-0003NL/J0G-0003NL.lib))) + (nets + (net (code 1) (name POK_5V) + (node (ref J2) (pin 7))) + (net (code 2) (name POK_1V5) + (node (ref J2) (pin 5))) + (net (code 3) (name POK_3V3) + (node (ref J2) (pin 9))) + (net (code 4) (name GND) + (node (ref J1) (pin 15)) + (node (ref C2) (pin 2)) + (node (ref U1) (pin 27)) + (node (ref C3) (pin 2)) + (node (ref J1) (pin 35)) + (node (ref C7) (pin 2)) + (node (ref C12) (pin 2)) + (node (ref J1) (pin 9)) + (node (ref J14) (pin 11)) + (node (ref J3) (pin 38)) + (node (ref J1) (pin 5)) + (node (ref J1) (pin 7)) + (node (ref J1) (pin 30)) + (node (ref J1) (pin 95)) + (node (ref U1) (pin 34)) + (node (ref J1) (pin 36)) + (node (ref J1) (pin 56)) + (node (ref J1) (pin 66)) + (node (ref U1) (pin 37)) + (node (ref C11) (pin 2)) + (node (ref J2) (pin 12)) + (node (ref J4) (pin 6)) + (node (ref J2) (pin 71)) + (node (ref J4) (pin 11)) + (node (ref P1) (pin P6)) + (node (ref J1) (pin 11)) + (node (ref J1) (pin 21)) + (node (ref U1) (pin 26)) + (node (ref J2) (pin 90)) + (node (ref R1) (pin 2)) + (node (ref J2) (pin 11)) + (node (ref J2) (pin 40)) + (node (ref J2) (pin 21)) + (node (ref U1) (pin 21)) + (node (ref P1) (pin P5)) + (node (ref P1) (pin S4)) + (node (ref P1) (pin P4)) + (node (ref J2) (pin 31)) + (node (ref J2) (pin 41)) + (node (ref P1) (pin S1)) + (node (ref J2) (pin 51)) + (node (ref U1) (pin 9)) + (node (ref U1) (pin 35)) + (node (ref U1) (pin 4)) + (node (ref P1) (pin P12)) + (node (ref J1) (pin 17)) + (node (ref J1) (pin 96)) + (node (ref U1) (pin 50)) + (node (ref U1) (pin 40)) + (node (ref J1) (pin 41)) + (node (ref P1) (pin S7)) + (node (ref P1) (pin P10)) + (node (ref C1) (pin 2)) + (node (ref U1) (pin 15)) + (node (ref J1) (pin 59)) + (node (ref J1) (pin 49)) + (node (ref C8) (pin 2)) + (node (ref J1) (pin 29)) + (node (ref J1) (pin 19)) + (node (ref J1) (pin 90)) + (node (ref J1) (pin 89)) + (node (ref C9) (pin 2)) + (node (ref J2) (pin 34)) + (node (ref J2) (pin 24)) + (node (ref J2) (pin 83)) + (node (ref C5) (pin 2)) + (node (ref J3) (pin 11)) + (node (ref J2) (pin 89)) + (node (ref J13) (pin 2)) + (node (ref J3) (pin 91)) + (node (ref J2) (pin 84)) + (node (ref J2) (pin 66)) + (node (ref J2) (pin 65)) + (node (ref J13) (pin 8)) + (node (ref J13) (pin 17)) + (node (ref J3) (pin 25)) + (node (ref J13) (pin 5)) + (node (ref C6) (pin 2)) + (node (ref J3) (pin 45)) + (node (ref J3) (pin 55)) + (node (ref J13) (pin 11)) + (node (ref J3) (pin 35)) + (node (ref J2) (pin 56)) + (node (ref J2) (pin 46)) + (node (ref J3) (pin 80)) + (node (ref C4) (pin 2)) + (node (ref C13) (pin 2)) + (node (ref J2) (pin 78)) + (node (ref C10) (pin 2)) + (node (ref U1) (pin 43)) + (node (ref U1) (pin 29)) + (node (ref J3) (pin 28)) + (node (ref J1) (pin 83)) + (node (ref U1) (pin 18)) + (node (ref J1) (pin 13)) + (node (ref J1) (pin 23)) + (node (ref J9) (pin 5)) + (node (ref J7) (pin 4)) + (node (ref J3) (pin 68)) + (node (ref J3) (pin 79)) + (node (ref J3) (pin 69)) + (node (ref J9) (pin 4)) + (node (ref J7) (pin 5)) + (node (ref J3) (pin 5)) + (node (ref J2) (pin 77)) + (node (ref J5) (pin 5)) + (node (ref J5) (pin 4)) + (node (ref J3) (pin 92))) + (net (code 5) (name +3V3) + (node (ref P2) (pin 14)) + (node (ref J1) (pin 46)) + (node (ref C1) (pin 1)) + (node (ref P2) (pin 16)) + (node (ref J1) (pin 42)) + (node (ref J1) (pin 22)) + (node (ref C13) (pin 1)) + (node (ref U1) (pin 39)) + (node (ref J1) (pin 44)) + (node (ref J1) (pin 24)) + (node (ref U1) (pin 41)) + (node (ref J14) (pin 5)) + (node (ref U1) (pin 24)) + (node (ref C2) (pin 1)) + (node (ref C3) (pin 1)) + (node (ref U1) (pin 52)) + (node (ref P1) (pin P3)) + (node (ref P1) (pin P2)) + (node (ref P1) (pin P1)) + (node (ref J4) (pin 4)) + (node (ref C6) (pin 1)) + (node (ref U1) (pin 2)) + (node (ref C5) (pin 1)) + (node (ref C4) (pin 1))) + (net (code 6) (name +5V) + (node (ref J1) (pin 14)) + (node (ref C11) (pin 1)) + (node (ref J1) (pin 10)) + (node (ref J1) (pin 8)) + (node (ref J1) (pin 6)) + (node (ref J1) (pin 4)) + (node (ref J1) (pin 3)) + (node (ref J1) (pin 1)) + (node (ref J1) (pin 2)) + (node (ref J1) (pin 12)) + (node (ref P1) (pin P9)) + (node (ref P1) (pin P8)) + (node (ref P1) (pin P7)) + (node (ref J1) (pin 18)) + (node (ref J1) (pin 76)) + (node (ref J14) (pin 6)) + (node (ref J1) (pin 16)) + (node (ref J1) (pin 84)) + (node (ref C12) (pin 1)) + (node (ref J1) (pin 20))) + (net (code 7) (name "Net-(J3-Pad39)") + (node (ref J3) (pin 39))) + (net (code 8) (name "Net-(J3-Pad78)") + (node (ref J3) (pin 78))) + (net (code 9) (name SD1_DATA3) + (node (ref J3) (pin 88))) + (net (code 10) (name "Net-(J3-Pad98)") + (node (ref J3) (pin 98))) + (net (code 11) (name "Net-(J3-Pad19)") + (node (ref J3) (pin 19))) + (net (code 12) (name "Net-(J3-Pad29)") + (node (ref J3) (pin 29))) + (net (code 13) (name "Net-(J3-Pad49)") + (node (ref J3) (pin 49))) + (net (code 14) (name "Net-(J3-Pad59)") + (node (ref J3) (pin 59))) + (net (code 15) (name SD1_CLK) + (node (ref J3) (pin 89))) + (net (code 16) (name "Net-(J3-Pad99)") + (node (ref J3) (pin 99))) + (net (code 17) (name "Net-(J3-Pad100)") + (node (ref J3) (pin 100))) + (net (code 18) (name +2V5) + (node (ref J3) (pin 58)) + (node (ref J3) (pin 48))) + (net (code 19) (name "Net-(J10-Pad1)") + (node (ref J2) (pin 6)) + (node (ref J10) (pin 1))) + (net (code 20) (name "Net-(J11-Pad1)") + (node (ref J11) (pin 1)) + (node (ref J2) (pin 8))) + (net (code 21) (name "Net-(J1-Pad69)") + (node (ref J1) (pin 77)) + (node (ref J8) (pin 1)) + (node (ref J1) (pin 69))) + (net (code 22) (name +1V2) + (node (ref J12) (pin 1)) + (node (ref J3) (pin 6)) + (node (ref J3) (pin 12)) + (node (ref J3) (pin 20))) + (net (code 23) (name "Net-(J1-Pad98)") + (node (ref J1) (pin 98))) + (net (code 24) (name LVDS0_TX3_P) + (node (ref J1) (pin 39)) + (node (ref J14) (pin 15))) + (net (code 25) (name HDMI_D1_N) + (node (ref J1) (pin 79)) + (node (ref J13) (pin 6))) + (net (code 26) (name HDMI_D0_P) + (node (ref J1) (pin 88)) + (node (ref J13) (pin 7))) + (net (code 27) (name "Net-(J1-Pad99)") + (node (ref J1) (pin 99))) + (net (code 28) (name "Net-(J1-Pad100)") + (node (ref J1) (pin 100))) + (net (code 29) (name "Net-(J2-Pad1)") + (node (ref J2) (pin 1))) + (net (code 30) (name RSTINn) + (node (ref J2) (pin 2))) + (net (code 31) (name BOOT_MODE0_CON) + (node (ref J2) (pin 3))) + (net (code 32) (name RSTOUTn) + (node (ref J2) (pin 4))) + (net (code 33) (name HDMI_D2_P) + (node (ref J13) (pin 1)) + (node (ref J1) (pin 87))) + (net (code 34) (name LVDS0_CLK_P) + (node (ref J1) (pin 27)) + (node (ref J14) (pin 3))) + (net (code 35) (name LVDS0_TX3_N) + (node (ref J14) (pin 13)) + (node (ref J1) (pin 37))) + (net (code 36) (name "Net-(J1-Pad47)") + (node (ref J1) (pin 47))) + (net (code 37) (name "Net-(J1-Pad57)") + (node (ref J1) (pin 57))) + (net (code 38) (name "Net-(J1-Pad67)") + (node (ref J1) (pin 67))) + (net (code 39) (name "Net-(J1-Pad97)") + (node (ref J1) (pin 97))) + (net (code 40) (name LVDS0_TX0_P) + (node (ref J14) (pin 4)) + (node (ref J1) (pin 28))) + (net (code 41) (name LVDS0_PWM) + (node (ref J1) (pin 38)) + (node (ref J14) (pin 14))) + (net (code 42) (name "Net-(J1-Pad48)") + (node (ref J1) (pin 48))) + (net (code 43) (name "Net-(J1-Pad58)") + (node (ref J1) (pin 58))) + (net (code 44) (name "Net-(J1-Pad68)") + (node (ref J1) (pin 68))) + (net (code 45) (name HDMI_HPD) + (node (ref J13) (pin 19)) + (node (ref J1) (pin 78))) + (net (code 46) (name "Net-(J2-Pad62)") + (node (ref J2) (pin 62))) + (net (code 47) (name PCIE_TX_P) + (node (ref J2) (pin 81)) + (node (ref U1) (pin 33))) + (net (code 48) (name "Net-(J2-Pad91)") + (node (ref J2) (pin 91))) + (net (code 49) (name "Net-(J2-Pad22)") + (node (ref J2) (pin 22))) + (net (code 50) (name "Net-(J2-Pad32)") + (node (ref J2) (pin 32))) + (net (code 51) (name SATA_TX_N) + (node (ref P1) (pin S3)) + (node (ref J2) (pin 42))) + (net (code 52) (name I2C3_SDA) + (node (ref J2) (pin 52))) + (net (code 53) (name "Net-(J2-Pad61)") + (node (ref J2) (pin 61))) + (net (code 54) (name USB1_PWR_EN) + (node (ref J2) (pin 72))) + (net (code 55) (name PCIE_CLK_P) + (node (ref U1) (pin 13)) + (node (ref J2) (pin 82))) + (net (code 56) (name "Net-(J2-Pad92)") + (node (ref J2) (pin 92))) + (net (code 57) (name "Net-(J2-Pad13)") + (node (ref J2) (pin 13))) + (net (code 58) (name "Net-(J2-Pad23)") + (node (ref J2) (pin 23))) + (net (code 59) (name SD3_CD) + (node (ref J2) (pin 33)) + (node (ref J4) (pin 10))) + (net (code 60) (name SD3_DATA0) + (node (ref J2) (pin 43)) + (node (ref J4) (pin 7))) + (net (code 61) (name UART1_TXD) + (node (ref J2) (pin 53))) + (net (code 62) (name USB_OC) + (node (ref J2) (pin 70))) + (net (code 63) (name "Net-(J2-Pad10)") + (node (ref J2) (pin 10))) + (net (code 64) (name "Net-(J2-Pad20)") + (node (ref J2) (pin 20))) + (net (code 65) (name "Net-(J2-Pad30)") + (node (ref J2) (pin 30))) + (net (code 66) (name I2C2_SDA) + (node (ref J2) (pin 50))) + (net (code 67) (name "Net-(J2-Pad60)") + (node (ref J2) (pin 60))) + (net (code 68) (name HDMI_D0_N) + (node (ref J13) (pin 9)) + (node (ref J1) (pin 86))) + (net (code 69) (name PCIE_CLK_N) + (node (ref J2) (pin 80)) + (node (ref U1) (pin 11))) + (net (code 70) (name "Net-(J1-Pad91)") + (node (ref J1) (pin 91))) + (net (code 71) (name LVDS0_TX2_N) + (node (ref J14) (pin 7)) + (node (ref J1) (pin 31))) + (net (code 72) (name "Net-(J1-Pad51)") + (node (ref J1) (pin 51))) + (net (code 73) (name "Net-(J1-Pad61)") + (node (ref J1) (pin 61))) + (net (code 74) (name "Net-(J1-Pad71)") + (node (ref J1) (pin 71))) + (net (code 75) (name HDMI_D1_P) + (node (ref J13) (pin 4)) + (node (ref J1) (pin 81))) + (net (code 76) (name LVDS0_TX1_N) + (node (ref J1) (pin 32)) + (node (ref J14) (pin 8))) + (net (code 77) (name "Net-(J1-Pad52)") + (node (ref J1) (pin 52))) + (net (code 78) (name "Net-(J1-Pad62)") + (node (ref J1) (pin 62))) + (net (code 79) (name "Net-(J1-Pad72)") + (node (ref J1) (pin 72))) + (net (code 80) (name HDMI_CEC_STBY) + (node (ref J1) (pin 82))) + (net (code 81) (name HDMI_CLK_N) + (node (ref J1) (pin 92)) + (node (ref J13) (pin 12))) + (net (code 82) (name LVDS0_CABC) + (node (ref J1) (pin 40))) + (net (code 83) (name "Net-(J1-Pad50)") + (node (ref J1) (pin 50))) + (net (code 84) (name "Net-(J1-Pad60)") + (node (ref J1) (pin 60))) + (net (code 85) (name "Net-(J1-Pad70)") + (node (ref J1) (pin 70))) + (net (code 86) (name HDMI_CEC_IN) + (node (ref J13) (pin 13)) + (node (ref J1) (pin 80))) + (net (code 87) (name HDMI_D2_N) + (node (ref J1) (pin 85)) + (node (ref J13) (pin 3))) + (net (code 88) (name HDMI_CLK_P) + (node (ref J13) (pin 10)) + (node (ref J1) (pin 94))) + (net (code 89) (name LVDS0_CLK_N) + (node (ref J1) (pin 25)) + (node (ref J14) (pin 1))) + (net (code 90) (name "Net-(J1-Pad45)") + (node (ref J1) (pin 45))) + (net (code 91) (name "Net-(J1-Pad55)") + (node (ref J1) (pin 55))) + (net (code 92) (name "Net-(J1-Pad65)") + (node (ref J1) (pin 65))) + (net (code 93) (name "Net-(J1-Pad75)") + (node (ref J1) (pin 75))) + (net (code 94) (name LVDS0_TX0_N) + (node (ref J1) (pin 26)) + (node (ref J14) (pin 2))) + (net (code 95) (name "Net-(J1-Pad93)") + (node (ref J1) (pin 93))) + (net (code 96) (name LVDS0_TX2_P) + (node (ref J14) (pin 9)) + (node (ref J1) (pin 33))) + (net (code 97) (name "Net-(J1-Pad43)") + (node (ref J1) (pin 43))) + (net (code 98) (name "Net-(J1-Pad53)") + (node (ref J1) (pin 53))) + (net (code 99) (name "Net-(J1-Pad63)") + (node (ref J1) (pin 63))) + (net (code 100) (name "Net-(J1-Pad73)") + (node (ref J1) (pin 73))) + (net (code 101) (name LVDS0_TX1_P) + (node (ref J14) (pin 10)) + (node (ref J1) (pin 34))) + (net (code 102) (name "Net-(J1-Pad54)") + (node (ref J1) (pin 54))) + (net (code 103) (name "Net-(J1-Pad64)") + (node (ref J1) (pin 64))) + (net (code 104) (name "Net-(J1-Pad74)") + (node (ref J1) (pin 74))) + (net (code 105) (name "Net-(J3-Pad33)") + (node (ref J3) (pin 33))) + (net (code 106) (name "Net-(J3-Pad42)") + (node (ref J3) (pin 42))) + (net (code 107) (name "Net-(J3-Pad52)") + (node (ref J3) (pin 52))) + (net (code 108) (name "Net-(J3-Pad62)") + (node (ref J3) (pin 62))) + (net (code 109) (name "Net-(J3-Pad72)") + (node (ref J3) (pin 72))) + (net (code 110) (name SD1_DATA0) + (node (ref J3) (pin 82))) + (net (code 111) (name "Net-(J3-Pad13)") + (node (ref J3) (pin 13))) + (net (code 112) (name "Net-(J3-Pad23)") + (node (ref J3) (pin 23))) + (net (code 113) (name "Net-(J3-Pad32)") + (node (ref J3) (pin 32))) + (net (code 114) (name "Net-(J3-Pad43)") + (node (ref J3) (pin 43))) + (net (code 115) (name "Net-(J3-Pad53)") + (node (ref J3) (pin 53))) + (net (code 116) (name "Net-(J3-Pad63)") + (node (ref J3) (pin 63))) + (net (code 117) (name "Net-(J3-Pad73)") + (node (ref J3) (pin 73))) + (net (code 118) (name SD1_DATA5) + (node (ref J3) (pin 83))) + (net (code 119) (name "Net-(J3-Pad93)") + (node (ref J3) (pin 93))) + (net (code 120) (name ENET_LED_LINK) + (node (ref J3) (pin 14)) + (node (ref P2) (pin 13))) + (net (code 121) (name "Net-(J3-Pad24)") + (node (ref J3) (pin 24))) + (net (code 122) (name "Net-(J3-Pad31)") + (node (ref J3) (pin 31))) + (net (code 123) (name "Net-(J3-Pad40)") + (node (ref J3) (pin 40))) + (net (code 124) (name "Net-(J3-Pad50)") + (node (ref J3) (pin 50))) + (net (code 125) (name "Net-(J3-Pad60)") + (node (ref J3) (pin 60))) + (net (code 126) (name "Net-(J3-Pad70)") + (node (ref J3) (pin 70))) + (net (code 127) (name SD1_CMD) + (node (ref J3) (pin 90))) + (net (code 128) (name "Net-(J3-Pad21)") + (node (ref J3) (pin 21))) + (net (code 129) (name "Net-(J3-Pad34)") + (node (ref J3) (pin 34))) + (net (code 130) (name "Net-(J3-Pad41)") + (node (ref J3) (pin 41))) + (net (code 131) (name "Net-(J3-Pad51)") + (node (ref J3) (pin 51))) + (net (code 132) (name "Net-(J3-Pad61)") + (node (ref J3) (pin 61))) + (net (code 133) (name "Net-(J3-Pad71)") + (node (ref J3) (pin 71))) + (net (code 134) (name SD1_DATA4) + (node (ref J3) (pin 81))) + (net (code 135) (name "Net-(J3-Pad22)") + (node (ref J3) (pin 22))) + (net (code 136) (name "Net-(J3-Pad27)") + (node (ref J3) (pin 27))) + (net (code 137) (name "Net-(J3-Pad36)") + (node (ref J3) (pin 36))) + (net (code 138) (name "Net-(J3-Pad46)") + (node (ref J3) (pin 46))) + (net (code 139) (name "Net-(J3-Pad56)") + (node (ref J3) (pin 56))) + (net (code 140) (name "Net-(J3-Pad66)") + (node (ref J3) (pin 66))) + (net (code 141) (name "Net-(J3-Pad76)") + (node (ref J3) (pin 76))) + (net (code 142) (name SD1_DATA2) + (node (ref J3) (pin 86))) + (net (code 143) (name "Net-(J3-Pad96)") + (node (ref J3) (pin 96))) + (net (code 144) (name "Net-(J3-Pad17)") + (node (ref J3) (pin 17))) + (net (code 145) (name "Net-(J3-Pad26)") + (node (ref J3) (pin 26))) + (net (code 146) (name "Net-(J3-Pad37)") + (node (ref J3) (pin 37))) + (net (code 147) (name "Net-(J3-Pad47)") + (node (ref J3) (pin 47))) + (net (code 148) (name "Net-(J3-Pad57)") + (node (ref J3) (pin 57))) + (net (code 149) (name SD1_CD) + (node (ref J3) (pin 67))) + (net (code 150) (name "Net-(J3-Pad77)") + (node (ref J3) (pin 77))) + (net (code 151) (name SD1_DATA7) + (node (ref J3) (pin 87))) + (net (code 152) (name "Net-(J3-Pad97)") + (node (ref J3) (pin 97))) + (net (code 153) (name "Net-(J3-Pad18)") + (node (ref J3) (pin 18))) + (net (code 154) (name "Net-(J3-Pad44)") + (node (ref J3) (pin 44))) + (net (code 155) (name "Net-(J3-Pad54)") + (node (ref J3) (pin 54))) + (net (code 156) (name "Net-(J3-Pad64)") + (node (ref J3) (pin 64))) + (net (code 157) (name "Net-(J3-Pad74)") + (node (ref J3) (pin 74))) + (net (code 158) (name SD1_DATA1) + (node (ref J3) (pin 84))) + (net (code 159) (name "Net-(J3-Pad94)") + (node (ref J3) (pin 94))) + (net (code 160) (name "Net-(J3-Pad15)") + (node (ref J3) (pin 15))) + (net (code 161) (name USB0_N) + (node (ref J2) (pin 73))) + (net (code 162) (name SD1_WP) + (node (ref J3) (pin 65))) + (net (code 163) (name "Net-(J3-Pad75)") + (node (ref J3) (pin 75))) + (net (code 164) (name SD1_DATA6) + (node (ref J3) (pin 85))) + (net (code 165) (name "Net-(J3-Pad95)") + (node (ref J3) (pin 95))) + (net (code 166) (name ENET_LED_RX) + (node (ref J3) (pin 16)) + (node (ref P2) (pin 17))) + (net (code 167) (name USB0_P) + (node (ref J2) (pin 75))) + (net (code 168) (name PCIE_RX_N) + (node (ref J2) (pin 85)) + (node (ref U1) (pin 23))) + (net (code 169) (name "Net-(J2-Pad16)") + (node (ref J2) (pin 16))) + (net (code 170) (name I2C1_SCL) + (node (ref J2) (pin 26)) + (node (ref J13) (pin 15))) + (net (code 171) (name SATA_RX_N) + (node (ref P1) (pin S5)) + (node (ref J2) (pin 36))) + (net (code 172) (name UART1_RXD) + (node (ref J2) (pin 55))) + (net (code 173) (name PCIE_WAKE) + (node (ref U1) (pin 1)) + (node (ref J2) (pin 76))) + (net (code 174) (name "Net-(J2-Pad86)") + (node (ref J2) (pin 86))) + (net (code 175) (name "Net-(J2-Pad96)") + (node (ref J2) (pin 96))) + (net (code 176) (name "Net-(J2-Pad17)") + (node (ref J2) (pin 17))) + (net (code 177) (name "Net-(J2-Pad27)") + (node (ref J2) (pin 27))) + (net (code 178) (name SD3_CLK) + (node (ref J2) (pin 37)) + (node (ref J4) (pin 5))) + (net (code 179) (name SD3_DATA2) + (node (ref J2) (pin 47)) + (node (ref J4) (pin 1))) + (net (code 180) (name UART1_RTS) + (node (ref J2) (pin 57))) + (net (code 181) (name "Net-(J2-Pad64)") + (node (ref J2) (pin 64))) + (net (code 182) (name "Net-(J2-Pad63)") + (node (ref J2) (pin 63))) + (net (code 183) (name "Net-(J2-Pad93)") + (node (ref J2) (pin 93))) + (net (code 184) (name "Net-(J2-Pad14)") + (node (ref J2) (pin 14))) + (net (code 185) (name SATA_TX_P) + (node (ref P1) (pin S2)) + (node (ref J2) (pin 44))) + (net (code 186) (name I2C3_SCL) + (node (ref J2) (pin 54))) + (net (code 187) (name USB0_PWR_EN) + (node (ref J2) (pin 74))) + (net (code 188) (name "Net-(J2-Pad94)") + (node (ref J2) (pin 94))) + (net (code 189) (name "Net-(J2-Pad15)") + (node (ref J2) (pin 15))) + (net (code 190) (name "Net-(J2-Pad25)") + (node (ref J2) (pin 25))) + (net (code 191) (name SD3_WP) + (node (ref J2) (pin 35)) + (node (ref R1) (pin 1))) + (net (code 192) (name SD3_DATA1) + (node (ref J2) (pin 45)) + (node (ref J4) (pin 8))) + (net (code 193) (name TRD2_P) + (node (ref J3) (pin 4)) + (node (ref P2) (pin 3))) + (net (code 194) (name PCIE_TX_N) + (node (ref J2) (pin 79)) + (node (ref U1) (pin 31))) + (net (code 195) (name "Net-(J2-Pad99)") + (node (ref J2) (pin 99))) + (net (code 196) (name "Net-(J2-Pad100)") + (node (ref J2) (pin 100))) + (net (code 197) (name "Net-(J2-PadCSI_)") + (node (ref J2) (pin CSI_))) + (net (code 198) (name TRD0_N) + (node (ref P2) (pin 10)) + (node (ref J3) (pin 1))) + (net (code 199) (name TRD2_N) + (node (ref J3) (pin 2)) + (node (ref P2) (pin 2))) + (net (code 200) (name TRD0_P) + (node (ref J3) (pin 3)) + (node (ref P2) (pin 11))) + (net (code 201) (name TRD1_N) + (node (ref J3) (pin 7)) + (node (ref P2) (pin 5))) + (net (code 202) (name TRD3_N) + (node (ref P2) (pin 9)) + (node (ref J3) (pin 8))) + (net (code 203) (name TRD1_P) + (node (ref J3) (pin 9)) + (node (ref P2) (pin 4))) + (net (code 204) (name TRD3_P) + (node (ref J3) (pin 10)) + (node (ref P2) (pin 8))) + (net (code 205) (name "Net-(J3-Pad30)") + (node (ref J3) (pin 30))) + (net (code 206) (name USB1_N) + (node (ref J2) (pin 67))) + (net (code 207) (name UART1_CTS) + (node (ref J2) (pin 59))) + (net (code 208) (name USB1_P) + (node (ref J2) (pin 69))) + (net (code 209) (name PCIE_RX_P) + (node (ref J2) (pin 87)) + (node (ref U1) (pin 25))) + (net (code 210) (name "Net-(J2-Pad97)") + (node (ref J2) (pin 97))) + (net (code 211) (name "Net-(J2-Pad18)") + (node (ref J2) (pin 18))) + (net (code 212) (name I2C1_SDA) + (node (ref J13) (pin 16)) + (node (ref J2) (pin 28))) + (net (code 213) (name SATA_RX_P) + (node (ref P1) (pin S6)) + (node (ref J2) (pin 38))) + (net (code 214) (name I2C2_SCL) + (node (ref J2) (pin 48))) + (net (code 215) (name "Net-(J2-Pad58)") + (node (ref J2) (pin 58))) + (net (code 216) (name "Net-(J2-Pad88)") + (node (ref J2) (pin 88))) + (net (code 217) (name "Net-(J2-Pad98)") + (node (ref J2) (pin 98))) + (net (code 218) (name "Net-(J2-Pad19)") + (node (ref J2) (pin 19))) + (net (code 219) (name "Net-(J2-Pad29)") + (node (ref J2) (pin 29))) + (net (code 220) (name SD3_CMD) + (node (ref J2) (pin 39)) + (node (ref J4) (pin 3))) + (net (code 221) (name SD3_DATA3) + (node (ref J4) (pin 2)) + (node (ref J2) (pin 49))) + (net (code 222) (name "Net-(J2-Pad68)") + (node (ref J2) (pin 68))) + (net (code 223) (name "Net-(J4-Pad9)") + (node (ref J4) (pin 9))) + (net (code 224) (name "Net-(P1-PadP15)") + (node (ref P1) (pin P15))) + (net (code 225) (name "Net-(P1-PadP14)") + (node (ref P1) (pin P14))) + (net (code 226) (name "Net-(P1-PadP13)") + (node (ref P1) (pin P13))) + (net (code 227) (name "Net-(P1-PadP11)") + (node (ref P1) (pin P11))) + (net (code 228) (name +1V5) + (node (ref C7) (pin 1)) + (node (ref U1) (pin 6)) + (node (ref U1) (pin 48)) + (node (ref C8) (pin 1)) + (node (ref C9) (pin 1)) + (node (ref U1) (pin 28))) + (net (code 229) (name "Net-(C10-Pad1)") + (node (ref C10) (pin 1))) + (net (code 230) (name "Net-(U1-Pad51)") + (node (ref U1) (pin 51))) + (net (code 231) (name "Net-(U1-Pad12)") + (node (ref U1) (pin 12))) + (net (code 232) (name "Net-(U1-Pad22)") + (node (ref U1) (pin 22))) + (net (code 233) (name "Net-(U1-Pad32)") + (node (ref U1) (pin 32))) + (net (code 234) (name "Net-(U1-Pad42)") + (node (ref U1) (pin 42))) + (net (code 235) (name "Net-(U1-Pad14)") + (node (ref U1) (pin 14))) + (net (code 236) (name "Net-(U1-Pad44)") + (node (ref U1) (pin 44))) + (net (code 237) (name "Net-(U1-Pad45)") + (node (ref U1) (pin 45))) + (net (code 238) (name "Net-(U1-Pad16)") + (node (ref U1) (pin 16))) + (net (code 239) (name "Net-(U1-Pad3)") + (node (ref U1) (pin 3))) + (net (code 240) (name "Net-(U1-Pad5)") + (node (ref U1) (pin 5))) + (net (code 241) (name "Net-(U1-Pad7)") + (node (ref U1) (pin 7))) + (net (code 242) (name "Net-(U1-Pad8)") + (node (ref U1) (pin 8))) + (net (code 243) (name "Net-(U1-Pad10)") + (node (ref U1) (pin 10))) + (net (code 244) (name "Net-(U1-Pad20)") + (node (ref U1) (pin 20))) + (net (code 245) (name "Net-(U1-Pad30)") + (node (ref U1) (pin 30))) + (net (code 246) (name "Net-(U1-Pad36)") + (node (ref U1) (pin 36))) + (net (code 247) (name "Net-(U1-Pad46)") + (node (ref U1) (pin 46))) + (net (code 248) (name "Net-(U1-Pad17)") + (node (ref U1) (pin 17))) + (net (code 249) (name "Net-(U1-Pad47)") + (node (ref U1) (pin 47))) + (net (code 250) (name "Net-(U1-Pad38)") + (node (ref U1) (pin 38))) + (net (code 251) (name "Net-(U1-Pad19)") + (node (ref U1) (pin 19))) + (net (code 252) (name "Net-(U1-Pad49)") + (node (ref U1) (pin 49))) + (net (code 253) (name "Net-(P2-PadSH1)") + (node (ref P2) (pin SH2)) + (node (ref P2) (pin SH1))) + (net (code 254) (name "Net-(P2-Pad15)") + (node (ref P2) (pin 15))) + (net (code 255) (name "Net-(C14-Pad1)") + (node (ref P2) (pin 7)) + (node (ref C14) (pin 1))) + (net (code 256) (name "Net-(C15-Pad1)") + (node (ref P2) (pin 1)) + (node (ref C15) (pin 1))) + (net (code 257) (name "Net-(C16-Pad1)") + (node (ref P2) (pin 6)) + (node (ref C16) (pin 1))) + (net (code 258) (name "Net-(C17-Pad1)") + (node (ref C17) (pin 1)) + (node (ref P2) (pin 12))) + (net (code 259) (name "Net-(C17-Pad2)") + (node (ref C17) (pin 2))) + (net (code 260) (name "Net-(C16-Pad2)") + (node (ref C16) (pin 2))) + (net (code 261) (name "Net-(C15-Pad2)") + (node (ref C15) (pin 2))) + (net (code 262) (name "Net-(C14-Pad2)") + (node (ref C14) (pin 2))) + (net (code 263) (name "Net-(J6-Pad3)") + (node (ref J6) (pin 3))) + (net (code 264) (name "Net-(J6-Pad2)") + (node (ref J6) (pin 2))) + (net (code 265) (name "Net-(J6-Pad1)") + (node (ref J6) (pin 1))) + (net (code 266) (name "Net-(J9-Pad3)") + (node (ref J9) (pin 3))) + (net (code 267) (name "Net-(J9-Pad2)") + (node (ref J9) (pin 2))) + (net (code 268) (name "Net-(J9-Pad1)") + (node (ref J9) (pin 1))) + (net (code 269) (name "Net-(J7-Pad3)") + (node (ref J7) (pin 3))) + (net (code 270) (name "Net-(J7-Pad2)") + (node (ref J7) (pin 2))) + (net (code 271) (name "Net-(J7-Pad1)") + (node (ref J7) (pin 1))) + (net (code 272) (name "Net-(J5-Pad3)") + (node (ref J5) (pin 3))) + (net (code 273) (name "Net-(J5-Pad2)") + (node (ref J5) (pin 2))) + (net (code 274) (name "Net-(J5-Pad1)") + (node (ref J5) (pin 1))) + (net (code 275) (name "Net-(J13-Pad18)") + (node (ref J13) (pin 18))) + (net (code 276) (name "Net-(J13-Pad14)") + (node (ref J13) (pin 14))) + (net (code 277) (name "Net-(J14-Pad16)") + (node (ref J14) (pin 16))) + (net (code 278) (name "Net-(J14-Pad12)") + (node (ref J14) (pin 12))))) +\ No newline at end of file diff --git a/reform-motherboard.pro b/reform-motherboard.pro @@ -0,0 +1,79 @@ +update=Thu 09 Nov 2017 07:16:44 PM CET +version=1 +last_client=kicad +[pcbnew] +version=1 +LastNetListRead= +UseCmpFile=1 +PadDrill=0.600000000000 +PadDrillOvalY=0.600000000000 +PadSizeH=1.500000000000 +PadSizeV=1.500000000000 +PcbTextSizeV=1.500000000000 +PcbTextSizeH=1.500000000000 +PcbTextThickness=0.300000000000 +ModuleTextSizeV=1.000000000000 +ModuleTextSizeH=1.000000000000 +ModuleTextSizeThickness=0.150000000000 +SolderMaskClearance=0.000000000000 +SolderMaskMinWidth=0.000000000000 +DrawSegmentWidth=0.200000000000 +BoardOutlineThickness=0.100000000000 +ModuleOutlineThickness=0.150000000000 +[cvpcb] +version=1 +NetIExt=net +[general] +version=1 +[schematic_editor] +version=1 +PageLayoutDescrFile= +PlotDirectoryName= +SubpartIdSeparator=0 +SubpartFirstId=65 +NetFmtName=Pcbnew +SpiceForceRefPrefix=0 +SpiceUseNetNumbers=0 +LabSize=60 +[eeschema] +version=1 +LibDir=../DF40C-100DS-0.4V_51_;../5622-4100-ML;../kicad-mini-pci-express-master;../TPS54616PWPR;../../trex-slim;../J0G-0003NL +[eeschema/libraries] +LibName1=power +LibName2=device +LibName3=switches +LibName4=relays +LibName5=motors +LibName6=transistors +LibName7=conn +LibName8=linear +LibName9=regul +LibName10=74xx +LibName11=cmos4000 +LibName12=adc-dac +LibName13=memory +LibName14=xilinx +LibName15=microcontrollers +LibName16=dsp +LibName17=microchip +LibName18=analog_switches +LibName19=motorola +LibName20=texas +LibName21=intel +LibName22=audio +LibName23=interface +LibName24=digital-audio +LibName25=philips +LibName26=display +LibName27=cypress +LibName28=siliconi +LibName29=opto +LibName30=atmel +LibName31=contrib +LibName32=valves +LibName33=DF40C-100DS-0.4V_51_ +LibName34=/home/mntmn/code/mnt-computer/trex-slim/5622-4100-ML/5622-4100-ML +LibName35=/home/mntmn/code/mnt-computer/trex-slim/kicad-mini-pci-express-master/mpcie +LibName36=TPS54616PWPR +LibName37=/home/mntmn/code/mnt-computer/trex-slim/conn_hdmi +LibName38=J0G-0003NL/J0G-0003NL diff --git a/reform-motherboard.sch b/reform-motherboard.sch @@ -0,0 +1,1079 @@ +EESchema Schematic File Version 2 +LIBS:power +LIBS:device +LIBS:switches +LIBS:relays +LIBS:motors +LIBS:transistors +LIBS:conn +LIBS:linear +LIBS:regul +LIBS:74xx +LIBS:cmos4000 +LIBS:adc-dac +LIBS:memory +LIBS:xilinx +LIBS:microcontrollers +LIBS:dsp +LIBS:microchip +LIBS:analog_switches +LIBS:motorola +LIBS:texas +LIBS:intel +LIBS:audio +LIBS:interface +LIBS:digital-audio +LIBS:philips +LIBS:display +LIBS:cypress +LIBS:siliconi +LIBS:opto +LIBS:atmel +LIBS:contrib +LIBS:valves +LIBS:DF40C-100DS-0.4V_51_ +LIBS:5622-4100-ML +LIBS:mpcie +LIBS:TPS54616PWPR +LIBS:conn_hdmi +LIBS:J0G-0003NL +LIBS:reform-motherboard-cache +EELAYER 25 0 +EELAYER END +$Descr A4 11693 8268 +encoding utf-8 +Sheet 1 6 +Title "Reform Baseboard for TinyRex" +Date "2017-10-27" +Rev "1" +Comp "MNT Media and Technology UG" +Comment1 "Lukas F. Hartmann / @mntmn" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L TinyRex-J1-DF40C J1 +U 1 1 59F31EE6 +P 2000 4700 +F 0 "J1" H 1699 7206 50 0000 L BNN +F 1 "TinyRex-J1-DF40C" H 1699 1894 50 0000 L BNN +F 2 "df40c-100ds-0:HRS_DF40C-100DS-0.4V(51)" H 1700 1400 50 0001 L BNN +F 3 "Hirose" H 1700 1300 50 0001 L BNN +F 4 "H11615CT-ND" H 1700 1500 50 0001 L BNN "Digi-Key_Part_Number" +F 5 "https://www.digikey.de/product-detail/en/hirose-electric-co-ltd/DF40C-100DS-0.4V(51)/H11615CT-ND/1969495?WT.z_cid=ref_snapeda" H 1700 1800 50 0001 L BNN "Digi-Key_Purchase_URL" +F 6 "DF40 Series 100 Position Dual Row 0.4 mm Pitch Surface Mount Vertical Receptacle" H 1700 1700 50 0001 L BNN "Description" +F 7 "DF40C-100DS-0.4V(51)" H 1700 1600 50 0001 L BNN "MP" + 1 2000 4700 + 1 0 0 -1 +$EndComp +$Comp +L TinyRex-J2-DF40C J2 +U 1 1 59F31F4D +P 6400 3300 +F 0 "J2" H 6099 5806 50 0000 L BNN +F 1 "TinyRex-J2-DF40C" H 6099 494 50 0000 L BNN +F 2 "df40c-100ds-0:HRS_DF40C-100DS-0.4V(51)" H 6100 200 50 0001 L BNN +F 3 "Hirose" H 5600 400 50 0001 L BNN +F 4 "None" H 5600 550 50 0001 L BNN "Package" +F 5 "H11615CT-ND" H 6100 0 50 0001 L BNN "Digi-Key_Part_Number" +F 6 "https://www.digikey.de/product-detail/en/hirose-electric-co-ltd/DF40C-100DS-0.4V(51)/H11615CT-ND/1969495?WT.z_cid=ref_snapeda" H 6100 400 50 0001 L BNN "Digi-Key_Purchase_URL" +F 7 "DF40 Series 100 Position Dual Row 0.4 mm Pitch Surface Mount Vertical Receptacle" H 6100 300 50 0001 L BNN "Description" +F 8 "DF40C-100DS-0.4V(51)" H 6150 100 50 0001 L BNN "MP" + 1 6400 3300 + 1 0 0 -1 +$EndComp +$Comp +L TinyRex-J3-DF40C J3 +U 1 1 59F36512 +P 9450 3300 +F 0 "J3" H 9149 5806 50 0000 L BNN +F 1 "TinyRex-J3-DF40C" H 9149 494 50 0000 L BNN +F 2 "df40c-100ds-0:HRS_DF40C-100DS-0.4V(51)" H 9150 400 50 0001 L BNN +F 3 "Hirose" H 8800 400 50 0001 L BNN +F 4 "None" H 8550 400 50 0001 L BNN "Package" +F 5 "H11615CT-ND" H 9150 300 50 0001 L BNN "Digi-Key_Part_Number" +F 6 "https://www.digikey.de/product-detail/en/hirose-electric-co-ltd/DF40C-100DS-0.4V(51)/H11615CT-ND/1969495?WT.z_cid=ref_snapeda" H 9150 200 50 0001 L BNN "Digi-Key_Purchase_URL" +F 7 "DF40 Series 100 Position Dual Row 0.4 mm Pitch Surface Mount Vertical Receptacle" H 9150 100 50 0001 L BNN "Description" +F 8 "DF40C-100DS-0.4V(51)" H 9150 0 50 0001 L BNN "MP" + 1 9450 3300 + 1 0 0 -1 +$EndComp +$Sheet +S 650 600 500 550 +U 59F39178 +F0 "ReformPower" 60 +F1 "reform-power.sch" 60 +$EndSheet +Text GLabel 1350 2300 0 60 Input ~ 0 ++5V +Text GLabel 1350 2500 0 60 Input ~ 0 +GND +$Comp +L +5V #PWR01 +U 1 1 59F391D0 +P 1400 2300 +F 0 "#PWR01" H 1400 2150 50 0001 C CNN +F 1 "+5V" H 1400 2440 50 0000 C CNN +F 2 "" H 1400 2300 50 0001 C CNN +F 3 "" H 1400 2300 50 0001 C CNN + 1 1400 2300 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR02 +U 1 1 59F391ED +P 1400 2500 +F 0 "#PWR02" H 1400 2250 50 0001 C CNN +F 1 "GND" H 1400 2350 50 0000 C CNN +F 2 "" H 1400 2500 50 0001 C CNN +F 3 "" H 1400 2500 50 0001 C CNN + 1 1400 2500 + 1 0 0 -1 +$EndComp +Text GLabel 3350 3300 2 60 Input ~ 0 ++3V3 +$Comp +L +3V3 #PWR03 +U 1 1 59F393B1 +P 3300 3300 +F 0 "#PWR03" H 3300 3150 50 0001 C CNN +F 1 "+3V3" H 3300 3440 50 0000 C CNN +F 2 "" H 3300 3300 50 0001 C CNN +F 3 "" H 3300 3300 50 0001 C CNN + 1 3300 3300 + 1 0 0 -1 +$EndComp +Text GLabel 5600 1100 0 60 Output ~ 0 +POK_1V5 +Text GLabel 5600 1200 0 60 Output ~ 0 +POK_5V +Text GLabel 5600 1300 0 60 Output ~ 0 +POK_3V3 +Text GLabel 5900 4200 0 60 BiDi ~ 0 +USB1_N +Text GLabel 5900 4300 0 60 BiDi ~ 0 +USB1_P +Text GLabel 5900 4500 0 60 BiDi ~ 0 +USB0_N +Text GLabel 5900 4600 0 60 BiDi ~ 0 +USB0_P +Text GLabel 7550 900 2 60 Input ~ 0 +RSTINn +Text GLabel 7550 1000 2 60 Output ~ 0 +RSTOUTn +Text GLabel 3150 6100 2 60 Input ~ 0 +HDMI_HPD +Text GLabel 3150 6800 2 60 Output ~ 0 +HDMI_CLK_N +Text GLabel 3150 6900 2 60 Output ~ 0 +HDMI_CLK_P +Text GLabel 1500 6200 0 60 Output ~ 0 +HDMI_D1_N +Text GLabel 1500 6300 0 60 Output ~ 0 +HDMI_D1_P +Text GLabel 1500 6500 0 60 Output ~ 0 +HDMI_D2_N +Text GLabel 1500 6600 0 60 Output ~ 0 +HDMI_D2_P +Text GLabel 3150 6500 2 60 Output ~ 0 +HDMI_D0_N +Text GLabel 3150 6600 2 60 Output ~ 0 +HDMI_D0_P +Text GLabel 5900 3500 0 60 Output ~ 0 +UART1_TXD +Text GLabel 5900 3700 0 60 Output ~ 0 +UART1_RTS +Text GLabel 5900 3600 0 60 Input ~ 0 +UART1_RXD +Text GLabel 5900 3800 0 60 Input ~ 0 +UART1_CTS +Text GLabel 10600 4900 2 60 BiDi ~ 0 +SD1_DATA0 +Text GLabel 10600 5000 2 60 BiDi ~ 0 +SD1_DATA1 +Text GLabel 10600 5100 2 60 BiDi ~ 0 +SD1_DATA2 +Text GLabel 10600 5200 2 60 BiDi ~ 0 +SD1_DATA3 +Text GLabel 10600 5300 2 60 Output ~ 0 +SD1_CMD +Text GLabel 8950 4900 0 60 BiDi ~ 0 +SD1_DATA4 +Text GLabel 8950 5000 0 60 BiDi ~ 0 +SD1_DATA5 +Text GLabel 8950 5100 0 60 BiDi ~ 0 +SD1_DATA6 +Text GLabel 8950 5200 0 60 BiDi ~ 0 +SD1_DATA7 +Text GLabel 8950 5300 0 60 Output ~ 0 +SD1_CLK +Text GLabel 8950 4100 0 60 BiDi ~ 0 +SD1_WP +Text GLabel 8950 4200 0 60 Input ~ 0 +SD1_CD +Text GLabel 11050 3700 2 60 Input ~ 0 ++2V5 +$Comp +L +2V5 #PWR04 +U 1 1 59F3A612 +P 11000 3200 +F 0 "#PWR04" H 11000 3050 50 0001 C CNN +F 1 "+2V5" H 11000 3340 50 0000 C CNN +F 2 "" H 11000 3200 50 0001 C CNN +F 3 "" H 11000 3200 50 0001 C CNN + 1 11000 3200 + 1 0 0 -1 +$EndComp +Text GLabel 11500 1400 2 60 Input ~ 0 ++1V2 +Text GLabel 7550 2600 2 60 Input ~ 0 +SATA_RX_N +Text GLabel 7550 2700 2 60 Input ~ 0 +SATA_RX_P +Text GLabel 7550 3000 2 60 Output ~ 0 +SATA_TX_P +Text GLabel 7550 2900 2 60 Output ~ 0 +SATA_TX_N +Text GLabel 7550 4300 2 60 Input ~ 0 +USB_OC +Text GLabel 7550 4500 2 60 Output ~ 0 +USB0_PWR_EN +Text GLabel 7550 4400 2 60 Output ~ 0 +USB1_PWR_EN +Text GLabel 3150 6200 2 60 Output ~ 0 +HDMI_CEC_IN +Text GLabel 3150 6300 2 60 Output ~ 0 +HDMI_CEC_STBY +$Sheet +S 650 1350 500 500 +U 59F3B156 +F0 "ReformSATA_SD" 60 +F1 "reform-sata-sd.sch" 60 +$EndSheet +Text GLabel 5900 3000 0 60 BiDi ~ 0 +SD3_DATA0 +Text GLabel 5900 3100 0 60 BiDi ~ 0 +SD3_DATA1 +Text GLabel 5900 3200 0 60 BiDi ~ 0 +SD3_DATA2 +Text GLabel 5900 3300 0 60 BiDi ~ 0 +SD3_DATA3 +$Comp +L GND #PWR05 +U 1 1 59F3BFDC +P 5900 2900 +F 0 "#PWR05" H 5900 2650 50 0001 C CNN +F 1 "GND" V 5900 2750 50 0000 C CNN +F 2 "" H 5900 2900 50 0001 C CNN +F 3 "" H 5900 2900 50 0001 C CNN + 1 5900 2900 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR06 +U 1 1 59F3BFF7 +P 5900 3400 +F 0 "#PWR06" H 5900 3150 50 0001 C CNN +F 1 "GND" V 5900 3250 50 0000 C CNN +F 2 "" H 5900 3400 50 0001 C CNN +F 3 "" H 5900 3400 50 0001 C CNN + 1 5900 3400 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR07 +U 1 1 59F3C00B +P 5900 4100 +F 0 "#PWR07" H 5900 3850 50 0001 C CNN +F 1 "GND" V 5900 3950 50 0000 C CNN +F 2 "" H 5900 4100 50 0001 C CNN +F 3 "" H 5900 4100 50 0001 C CNN + 1 5900 4100 + 0 1 1 0 +$EndComp +Text GLabel 5900 2600 0 60 BiDi ~ 0 +SD3_WP +Text GLabel 5900 2500 0 60 Input ~ 0 +SD3_CD +Text GLabel 5900 2800 0 60 BiDi ~ 0 +SD3_CMD +Text GLabel 5900 2700 0 60 Output ~ 0 +SD3_CLK +$Sheet +S 1800 600 500 500 +U 59F3D3B4 +F0 "ReformPCIe" 60 +F1 "reform-pcie.sch" 60 +$EndSheet +Text GLabel 5900 4900 0 60 Output ~ 0 +PCIE_TX_P +Text GLabel 5900 4800 0 60 Output ~ 0 +PCIE_TX_N +Text GLabel 5900 5100 0 60 Input ~ 0 +PCIE_RX_N +Text GLabel 5900 5200 0 60 Input ~ 0 +PCIE_RX_P +Text GLabel 7550 4600 2 60 Input ~ 0 +PCIE_WAKE +Text GLabel 7550 4800 2 60 Output ~ 0 +PCIE_CLK_N +Text GLabel 7550 4900 2 60 Output ~ 0 +PCIE_CLK_P +$Sheet +S 2900 600 500 550 +U 5A021F5C +F0 "reform-eth-usb" 60 +F1 "reform-eth-usb.sch" 60 +$EndSheet +$Sheet +S 1900 1350 500 500 +U 5A0313A2 +F0 "ReformDisplay" 60 +F1 "reform-display.sch" 60 +$EndSheet +Wire Wire Line + 1350 2500 1500 2500 +Wire Wire Line + 1350 2300 1500 2300 +Wire Wire Line + 3350 3300 3150 3300 +Wire Wire Line + 5600 1100 5900 1100 +Wire Wire Line + 5600 1200 5900 1200 +Wire Wire Line + 5600 1300 5900 1300 +Wire Wire Line + 10600 3700 11050 3700 +Wire Wire Line + 10600 1400 11500 1400 +Wire Wire Line + 1500 2500 1500 3400 +Connection ~ 1500 2600 +Connection ~ 1500 2700 +Connection ~ 1500 3300 +Connection ~ 1500 3200 +Connection ~ 1500 3100 +Connection ~ 1500 3000 +Connection ~ 1500 2900 +Connection ~ 1500 2800 +Wire Wire Line + 1500 2050 1500 2400 +Connection ~ 1500 2300 +Connection ~ 1500 2500 +Wire Wire Line + 3150 2050 3150 3200 +Wire Wire Line + 1500 2050 3150 2050 +Connection ~ 3150 2300 +Connection ~ 3150 2400 +Connection ~ 3150 2500 +Connection ~ 3150 2600 +Connection ~ 3150 2700 +Connection ~ 3150 2800 +Connection ~ 3150 2900 +Connection ~ 3150 3000 +Connection ~ 3150 3100 +Wire Wire Line + 3150 3300 3150 3400 +Connection ~ 3150 3300 +Wire Wire Line + 3150 4300 3150 4500 +Connection ~ 3150 4400 +$Comp +L +3V3 #PWR08 +U 1 1 5A0486F1 +P 3150 4400 +F 0 "#PWR08" H 3150 4250 50 0001 C CNN +F 1 "+3V3" H 3150 4540 50 0000 C CNN +F 2 "" H 3150 4400 50 0001 C CNN +F 3 "" H 3150 4400 50 0001 C CNN + 1 3150 4400 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR09 +U 1 1 5A04870B +P 1500 3700 +F 0 "#PWR09" H 1500 3450 50 0001 C CNN +F 1 "GND" H 1500 3550 50 0000 C CNN +F 2 "" H 1500 3700 50 0001 C CNN +F 3 "" H 1500 3700 50 0001 C CNN + 1 1500 3700 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR010 +U 1 1 5A048725 +P 1500 4000 +F 0 "#PWR010" H 1500 3750 50 0001 C CNN +F 1 "GND" H 1500 3850 50 0000 C CNN +F 2 "" H 1500 4000 50 0001 C CNN +F 3 "" H 1500 4000 50 0001 C CNN + 1 1500 4000 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR011 +U 1 1 5A048740 +P 1500 4300 +F 0 "#PWR011" H 1500 4050 50 0001 C CNN +F 1 "GND" H 1500 4150 50 0000 C CNN +F 2 "" H 1500 4300 50 0001 C CNN +F 3 "" H 1500 4300 50 0001 C CNN + 1 1500 4300 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR012 +U 1 1 5A048754 +P 1500 4700 +F 0 "#PWR012" H 1500 4450 50 0001 C CNN +F 1 "GND" H 1500 4550 50 0000 C CNN +F 2 "" H 1500 4700 50 0001 C CNN +F 3 "" H 1500 4700 50 0001 C CNN + 1 1500 4700 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR013 +U 1 1 5A048768 +P 1500 5200 +F 0 "#PWR013" H 1500 4950 50 0001 C CNN +F 1 "GND" H 1500 5050 50 0000 C CNN +F 2 "" H 1500 5200 50 0001 C CNN +F 3 "" H 1500 5200 50 0001 C CNN + 1 1500 5200 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR014 +U 1 1 5A048782 +P 1500 6400 +F 0 "#PWR014" H 1500 6150 50 0001 C CNN +F 1 "GND" H 1500 6250 50 0000 C CNN +F 2 "" H 1500 6400 50 0001 C CNN +F 3 "" H 1500 6400 50 0001 C CNN + 1 1500 6400 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR015 +U 1 1 5A048796 +P 1500 6700 +F 0 "#PWR015" H 1500 6450 50 0001 C CNN +F 1 "GND" H 1500 6550 50 0000 C CNN +F 2 "" H 1500 6700 50 0001 C CNN +F 3 "" H 1500 6700 50 0001 C CNN + 1 1500 6700 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR016 +U 1 1 5A0487AA +P 1500 7000 +F 0 "#PWR016" H 1500 6750 50 0001 C CNN +F 1 "GND" H 1500 6850 50 0000 C CNN +F 2 "" H 1500 7000 50 0001 C CNN +F 3 "" H 1500 7000 50 0001 C CNN + 1 1500 7000 + 0 1 1 0 +$EndComp +Wire Wire Line + 1500 5700 1400 5700 +Wire Wire Line + 1400 5700 1400 6100 +Wire Wire Line + 1400 6100 1500 6100 +$Comp +L TEST_1P J8 +U 1 1 5A048BE3 +P 1400 5700 +F 0 "J8" H 1400 5970 50 0000 C CNN +F 1 "TEST_3V" H 1400 5900 50 0000 C CNN +F 2 "Measurement_Points:Test_Point" H 1600 5700 50 0001 C CNN +F 3 "" H 1600 5700 50 0001 C CNN + 1 1400 5700 + 0 -1 -1 0 +$EndComp +Wire Wire Line + 3150 6000 4500 6000 +Wire Wire Line + 4000 6000 4000 6400 +Wire Wire Line + 4000 6400 3150 6400 +Connection ~ 1400 5700 +$Comp +L +5V #PWR017 +U 1 1 5A048CBD +P 4000 6000 +F 0 "#PWR017" H 4000 5850 50 0001 C CNN +F 1 "+5V" H 4000 6140 50 0000 C CNN +F 2 "" H 4000 6000 50 0001 C CNN +F 3 "" H 4000 6000 50 0001 C CNN + 1 4000 6000 + 1 0 0 -1 +$EndComp +Connection ~ 4000 6000 +$Comp +L GND #PWR018 +U 1 1 5A048CE6 +P 4150 6200 +F 0 "#PWR018" H 4150 5950 50 0001 C CNN +F 1 "GND" H 4150 6050 50 0000 C CNN +F 2 "" H 4150 6200 50 0001 C CNN +F 3 "" H 4150 6200 50 0001 C CNN + 1 4150 6200 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR019 +U 1 1 5A048D02 +P 4500 6200 +F 0 "#PWR019" H 4500 5950 50 0001 C CNN +F 1 "GND" H 4500 6050 50 0000 C CNN +F 2 "" H 4500 6200 50 0001 C CNN +F 3 "" H 4500 6200 50 0001 C CNN + 1 4500 6200 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C11 +U 1 1 5A048D1E +P 4150 6100 +F 0 "C11" H 4160 6170 50 0000 L CNN +F 1 "100nF" H 4160 6020 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603_HandSoldering" H 4150 6100 50 0001 C CNN +F 3 "" H 4150 6100 50 0001 C CNN + 1 4150 6100 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C12 +U 1 1 5A048D63 +P 4500 6100 +F 0 "C12" H 4510 6170 50 0000 L CNN +F 1 "22uF" H 4510 6020 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603_HandSoldering" H 4500 6100 50 0001 C CNN +F 3 "" H 4500 6100 50 0001 C CNN + 1 4500 6100 + 1 0 0 -1 +$EndComp +Connection ~ 4150 6000 +Text GLabel 1500 3500 0 60 Output ~ 0 +LVDS0_CLK_N +Text GLabel 1500 3600 0 60 Output ~ 0 +LVDS0_CLK_P +Text GLabel 1500 3800 0 60 Output ~ 0 +LVDS0_TX2_N +Text GLabel 1500 3900 0 60 Output ~ 0 +LVDS0_TX2_P +Text GLabel 1500 4100 0 60 Output ~ 0 +LVDS0_TX3_N +Text GLabel 1500 4200 0 60 Output ~ 0 +LVDS0_TX3_P +Text GLabel 3150 3500 2 60 Output ~ 0 +LVDS0_TX0_N +Text GLabel 3150 3600 2 60 Output ~ 0 +LVDS0_TX0_P +Text GLabel 3150 3800 2 60 Output ~ 0 +LVDS0_TX1_N +Text GLabel 3150 3900 2 60 Output ~ 0 +LVDS0_TX1_P +Text GLabel 3150 4100 2 60 Output ~ 0 +LVDS0_PWM +Text GLabel 3150 4200 2 60 Output ~ 0 +LVDS0_CABC +$Comp +L GND #PWR020 +U 1 1 5A049456 +P 3150 3700 +F 0 "#PWR020" H 3150 3450 50 0001 C CNN +F 1 "GND" H 3150 3550 50 0000 C CNN +F 2 "" H 3150 3700 50 0001 C CNN +F 3 "" H 3150 3700 50 0001 C CNN + 1 3150 3700 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR021 +U 1 1 5A049473 +P 3150 4000 +F 0 "#PWR021" H 3150 3750 50 0001 C CNN +F 1 "GND" H 3150 3850 50 0000 C CNN +F 2 "" H 3150 4000 50 0001 C CNN +F 3 "" H 3150 4000 50 0001 C CNN + 1 3150 4000 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR022 +U 1 1 5A04949C +P 3150 5000 +F 0 "#PWR022" H 3150 4750 50 0001 C CNN +F 1 "GND" H 3150 4850 50 0000 C CNN +F 2 "" H 3150 5000 50 0001 C CNN +F 3 "" H 3150 5000 50 0001 C CNN + 1 3150 5000 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR023 +U 1 1 5A0494B9 +P 3150 5500 +F 0 "#PWR023" H 3150 5250 50 0001 C CNN +F 1 "GND" H 3150 5350 50 0000 C CNN +F 2 "" H 3150 5500 50 0001 C CNN +F 3 "" H 3150 5500 50 0001 C CNN + 1 3150 5500 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR024 +U 1 1 5A049500 +P 3150 6700 +F 0 "#PWR024" H 3150 6450 50 0001 C CNN +F 1 "GND" H 3150 6550 50 0000 C CNN +F 2 "" H 3150 6700 50 0001 C CNN +F 3 "" H 3150 6700 50 0001 C CNN + 1 3150 6700 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR025 +U 1 1 5A04951D +P 3150 7000 +F 0 "#PWR025" H 3150 6750 50 0001 C CNN +F 1 "GND" H 3150 6850 50 0000 C CNN +F 2 "" H 3150 7000 50 0001 C CNN +F 3 "" H 3150 7000 50 0001 C CNN + 1 3150 7000 + 0 -1 -1 0 +$EndComp +Text GLabel 8950 900 0 60 BiDi ~ 0 +TRD0_N +Text GLabel 8950 1000 0 60 BiDi ~ 0 +TRD0_P +Text GLabel 8950 1200 0 60 BiDi ~ 0 +TRD1_N +Text GLabel 8950 1300 0 60 BiDi ~ 0 +TRD1_P +Text GLabel 10600 900 2 60 BiDi ~ 0 +TRD2_N +Text GLabel 10600 1000 2 60 BiDi ~ 0 +TRD2_P +Text GLabel 10600 1200 2 60 BiDi ~ 0 +TRD3_N +Text GLabel 10600 1300 2 60 BiDi ~ 0 +TRD3_P +Connection ~ 11450 1400 +Wire Wire Line + 11450 1100 11450 1800 +Wire Wire Line + 11450 1100 10600 1100 +$Comp +L TEST_1P J12 +U 1 1 5A049E63 +P 11450 1100 +F 0 "J12" H 11450 1370 50 0000 C CNN +F 1 "TEST_1V2" H 11450 1300 50 0000 C CNN +F 2 "Measurement_Points:Test_Point" H 11650 1100 50 0001 C CNN +F 3 "" H 11650 1100 50 0001 C CNN + 1 11450 1100 + 0 1 1 0 +$EndComp +Text GLabel 10600 1500 2 60 Output ~ 0 +ENET_LED_LINK +Text GLabel 10600 1600 2 60 Output ~ 0 +ENET_LED_RX +Wire Wire Line + 11450 1800 10600 1800 +$Comp +L GND #PWR026 +U 1 1 5A04A6EB +P 8950 1100 +F 0 "#PWR026" H 8950 850 50 0001 C CNN +F 1 "GND" H 8950 950 50 0000 C CNN +F 2 "" H 8950 1100 50 0001 C CNN +F 3 "" H 8950 1100 50 0001 C CNN + 1 8950 1100 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR027 +U 1 1 5A04A712 +P 8950 1400 +F 0 "#PWR027" H 8950 1150 50 0001 C CNN +F 1 "GND" H 8950 1250 50 0000 C CNN +F 2 "" H 8950 1400 50 0001 C CNN +F 3 "" H 8950 1400 50 0001 C CNN + 1 8950 1400 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR028 +U 1 1 5A04A732 +P 8950 2100 +F 0 "#PWR028" H 8950 1850 50 0001 C CNN +F 1 "GND" H 8950 1950 50 0000 C CNN +F 2 "" H 8950 2100 50 0001 C CNN +F 3 "" H 8950 2100 50 0001 C CNN + 1 8950 2100 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR029 +U 1 1 5A04A752 +P 8950 2600 +F 0 "#PWR029" H 8950 2350 50 0001 C CNN +F 1 "GND" H 8950 2450 50 0000 C CNN +F 2 "" H 8950 2600 50 0001 C CNN +F 3 "" H 8950 2600 50 0001 C CNN + 1 8950 2600 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR030 +U 1 1 5A04A772 +P 8950 3100 +F 0 "#PWR030" H 8950 2850 50 0001 C CNN +F 1 "GND" H 8950 2950 50 0000 C CNN +F 2 "" H 8950 3100 50 0001 C CNN +F 3 "" H 8950 3100 50 0001 C CNN + 1 8950 3100 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR031 +U 1 1 5A04A7B5 +P 8950 3600 +F 0 "#PWR031" H 8950 3350 50 0001 C CNN +F 1 "GND" H 8950 3450 50 0000 C CNN +F 2 "" H 8950 3600 50 0001 C CNN +F 3 "" H 8950 3600 50 0001 C CNN + 1 8950 3600 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR032 +U 1 1 5A04A7D5 +P 8950 4300 +F 0 "#PWR032" H 8950 4050 50 0001 C CNN +F 1 "GND" H 8950 4150 50 0000 C CNN +F 2 "" H 8950 4300 50 0001 C CNN +F 3 "" H 8950 4300 50 0001 C CNN + 1 8950 4300 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR033 +U 1 1 5A04A826 +P 8950 4800 +F 0 "#PWR033" H 8950 4550 50 0001 C CNN +F 1 "GND" H 8950 4650 50 0000 C CNN +F 2 "" H 8950 4800 50 0001 C CNN +F 3 "" H 8950 4800 50 0001 C CNN + 1 8950 4800 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR034 +U 1 1 5A04A846 +P 8950 5400 +F 0 "#PWR034" H 8950 5150 50 0001 C CNN +F 1 "GND" H 8950 5250 50 0000 C CNN +F 2 "" H 8950 5400 50 0001 C CNN +F 3 "" H 8950 5400 50 0001 C CNN + 1 8950 5400 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR035 +U 1 1 5A04A866 +P 10600 5400 +F 0 "#PWR035" H 10600 5150 50 0001 C CNN +F 1 "GND" H 10600 5250 50 0000 C CNN +F 2 "" H 10600 5400 50 0001 C CNN +F 3 "" H 10600 5400 50 0001 C CNN + 1 10600 5400 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR036 +U 1 1 5A04A886 +P 10600 4800 +F 0 "#PWR036" H 10600 4550 50 0001 C CNN +F 1 "GND" H 10600 4650 50 0000 C CNN +F 2 "" H 10600 4800 50 0001 C CNN +F 3 "" H 10600 4800 50 0001 C CNN + 1 10600 4800 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR037 +U 1 1 5A04A8E4 +P 10600 4200 +F 0 "#PWR037" H 10600 3950 50 0001 C CNN +F 1 "GND" H 10600 4050 50 0000 C CNN +F 2 "" H 10600 4200 50 0001 C CNN +F 3 "" H 10600 4200 50 0001 C CNN + 1 10600 4200 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR038 +U 1 1 5A04A935 +P 10600 2700 +F 0 "#PWR038" H 10600 2450 50 0001 C CNN +F 1 "GND" H 10600 2550 50 0000 C CNN +F 2 "" H 10600 2700 50 0001 C CNN +F 3 "" H 10600 2700 50 0001 C CNN + 1 10600 2700 + 0 -1 -1 0 +$EndComp +Wire Wire Line + 10600 3200 11000 3200 +Wire Wire Line + 11000 3200 11000 3700 +Connection ~ 11000 3700 +Connection ~ 11000 3200 +$Comp +L GND #PWR039 +U 1 1 5A04AFCE +P 10600 2200 +F 0 "#PWR039" H 10600 1950 50 0001 C CNN +F 1 "GND" H 10600 2050 50 0000 C CNN +F 2 "" H 10600 2200 50 0001 C CNN +F 3 "" H 10600 2200 50 0001 C CNN + 1 10600 2200 + 0 -1 -1 0 +$EndComp +$Comp +L TEST_1P J10 +U 1 1 5A04B860 +P 7950 1100 +F 0 "J10" H 7950 1370 50 0000 C CNN +F 1 "TEST_PMIC_ON" H 7950 1300 50 0000 C CNN +F 2 "Measurement_Points:Test_Point" H 8150 1100 50 0001 C CNN +F 3 "" H 8150 1100 50 0001 C CNN + 1 7950 1100 + 0 1 1 0 +$EndComp +Wire Wire Line + 7950 1100 7550 1100 +$Comp +L TEST_1P J11 +U 1 1 5A04B954 +P 8150 1200 +F 0 "J11" H 8150 1470 50 0000 C CNN +F 1 "TEST_ON" H 8150 1400 50 0000 C CNN +F 2 "Measurement_Points:Test_Point" H 8350 1200 50 0001 C CNN +F 3 "" H 8350 1200 50 0001 C CNN + 1 8150 1200 + 0 1 1 0 +$EndComp +Wire Wire Line + 8150 1200 7550 1200 +$Comp +L GND #PWR040 +U 1 1 5A04BD7D +P 5900 1400 +F 0 "#PWR040" H 5900 1150 50 0001 C CNN +F 1 "GND" H 5900 1250 50 0000 C CNN +F 2 "" H 5900 1400 50 0001 C CNN +F 3 "" H 5900 1400 50 0001 C CNN + 1 5900 1400 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR041 +U 1 1 5A04BDA3 +P 5900 1900 +F 0 "#PWR041" H 5900 1650 50 0001 C CNN +F 1 "GND" H 5900 1750 50 0000 C CNN +F 2 "" H 5900 1900 50 0001 C CNN +F 3 "" H 5900 1900 50 0001 C CNN + 1 5900 1900 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR042 +U 1 1 5A04BDC9 +P 5900 2400 +F 0 "#PWR042" H 5900 2150 50 0001 C CNN +F 1 "GND" V 5900 2250 50 0000 C CNN +F 2 "" H 5900 2400 50 0001 C CNN +F 3 "" H 5900 2400 50 0001 C CNN + 1 5900 2400 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR043 +U 1 1 5A04C105 +P 7550 2000 +F 0 "#PWR043" H 7550 1750 50 0001 C CNN +F 1 "GND" V 7550 1850 50 0000 C CNN +F 2 "" H 7550 2000 50 0001 C CNN +F 3 "" H 7550 2000 50 0001 C CNN + 1 7550 2000 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR044 +U 1 1 5A04C12B +P 7550 1400 +F 0 "#PWR044" H 7550 1150 50 0001 C CNN +F 1 "GND" H 7550 1250 50 0000 C CNN +F 2 "" H 7550 1400 50 0001 C CNN +F 3 "" H 7550 1400 50 0001 C CNN + 1 7550 1400 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR045 +U 1 1 5A04C15A +P 7550 2500 +F 0 "#PWR045" H 7550 2250 50 0001 C CNN +F 1 "GND" V 7550 2350 50 0000 C CNN +F 2 "" H 7550 2500 50 0001 C CNN +F 3 "" H 7550 2500 50 0001 C CNN + 1 7550 2500 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR046 +U 1 1 5A04C180 +P 7550 2800 +F 0 "#PWR046" H 7550 2550 50 0001 C CNN +F 1 "GND" V 7550 2650 50 0000 C CNN +F 2 "" H 7550 2800 50 0001 C CNN +F 3 "" H 7550 2800 50 0001 C CNN + 1 7550 2800 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR047 +U 1 1 5A04C1A6 +P 7550 3100 +F 0 "#PWR047" H 7550 2850 50 0001 C CNN +F 1 "GND" V 7550 2950 50 0000 C CNN +F 2 "" H 7550 3100 50 0001 C CNN +F 3 "" H 7550 3100 50 0001 C CNN + 1 7550 3100 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR048 +U 1 1 5A04C1CC +P 7550 3600 +F 0 "#PWR048" H 7550 3350 50 0001 C CNN +F 1 "GND" V 7550 3450 50 0000 C CNN +F 2 "" H 7550 3600 50 0001 C CNN +F 3 "" H 7550 3600 50 0001 C CNN + 1 7550 3600 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR049 +U 1 1 5A04C1F2 +P 7550 4100 +F 0 "#PWR049" H 7550 3850 50 0001 C CNN +F 1 "GND" V 7550 3950 50 0000 C CNN +F 2 "" H 7550 4100 50 0001 C CNN +F 3 "" H 7550 4100 50 0001 C CNN + 1 7550 4100 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR050 +U 1 1 5A04C233 +P 7550 4700 +F 0 "#PWR050" H 7550 4450 50 0001 C CNN +F 1 "GND" H 7550 4550 50 0000 C CNN +F 2 "" H 7550 4700 50 0001 C CNN +F 3 "" H 7550 4700 50 0001 C CNN + 1 7550 4700 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR051 +U 1 1 5A04C259 +P 7550 5000 +F 0 "#PWR051" H 7550 4750 50 0001 C CNN +F 1 "GND" H 7550 4850 50 0000 C CNN +F 2 "" H 7550 5000 50 0001 C CNN +F 3 "" H 7550 5000 50 0001 C CNN + 1 7550 5000 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR052 +U 1 1 5A04C27F +P 7550 5300 +F 0 "#PWR052" H 7550 5050 50 0001 C CNN +F 1 "GND" H 7550 5150 50 0000 C CNN +F 2 "" H 7550 5300 50 0001 C CNN +F 3 "" H 7550 5300 50 0001 C CNN + 1 7550 5300 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR053 +U 1 1 5A04C2A5 +P 5900 5300 +F 0 "#PWR053" H 5900 5050 50 0001 C CNN +F 1 "GND" H 5900 5150 50 0000 C CNN +F 2 "" H 5900 5300 50 0001 C CNN +F 3 "" H 5900 5300 50 0001 C CNN + 1 5900 5300 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR054 +U 1 1 5A04C2CB +P 5900 5000 +F 0 "#PWR054" H 5900 4750 50 0001 C CNN +F 1 "GND" H 5900 4850 50 0000 C CNN +F 2 "" H 5900 5000 50 0001 C CNN +F 3 "" H 5900 5000 50 0001 C CNN + 1 5900 5000 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR055 +U 1 1 5A04C2F1 +P 5900 4700 +F 0 "#PWR055" H 5900 4450 50 0001 C CNN +F 1 "GND" H 5900 4550 50 0000 C CNN +F 2 "" H 5900 4700 50 0001 C CNN +F 3 "" H 5900 4700 50 0001 C CNN + 1 5900 4700 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR056 +U 1 1 5A04C317 +P 5900 4400 +F 0 "#PWR056" H 5900 4150 50 0001 C CNN +F 1 "GND" V 5900 4250 50 0000 C CNN +F 2 "" H 5900 4400 50 0001 C CNN +F 3 "" H 5900 4400 50 0001 C CNN + 1 5900 4400 + 0 1 1 0 +$EndComp +Text GLabel 5900 1000 0 60 Input ~ 0 +BOOT_MODE0_CON +Text GLabel 7550 2100 2 60 Output ~ 0 +I2C1_SCL +Text GLabel 7550 3200 2 60 Output ~ 0 +I2C2_SCL +Text GLabel 7550 2200 2 60 BiDi ~ 0 +I2C1_SDA +Text GLabel 7550 3300 2 60 BiDi ~ 0 +I2C2_SDA +Text GLabel 7550 3400 2 60 BiDi ~ 0 +I2C3_SDA +Text GLabel 7550 3500 2 60 Output ~ 0 +I2C3_SCL +$EndSCHEMATC diff --git a/reform-pcie.sch b/reform-pcie.sch @@ -0,0 +1,489 @@ +EESchema Schematic File Version 2 +LIBS:power +LIBS:device +LIBS:switches +LIBS:relays +LIBS:motors +LIBS:transistors +LIBS:conn +LIBS:linear +LIBS:regul +LIBS:74xx +LIBS:cmos4000 +LIBS:adc-dac +LIBS:memory +LIBS:xilinx +LIBS:microcontrollers +LIBS:dsp +LIBS:microchip +LIBS:analog_switches +LIBS:motorola +LIBS:texas +LIBS:intel +LIBS:audio +LIBS:interface +LIBS:digital-audio +LIBS:philips +LIBS:display +LIBS:cypress +LIBS:siliconi +LIBS:opto +LIBS:atmel +LIBS:contrib +LIBS:valves +LIBS:DF40C-100DS-0.4V_51_ +LIBS:5622-4100-ML +LIBS:mpcie +LIBS:TPS54616PWPR +LIBS:conn_hdmi +LIBS:J0G-0003NL +LIBS:reform-motherboard-cache +EELAYER 25 0 +EELAYER END +$Descr A4 11693 8268 +encoding utf-8 +Sheet 4 6 +Title "" +Date "" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L MPCIE-Socket U1 +U 1 1 59F3D5C6 +P 3600 3450 +F 0 "U1" H 3200 4750 60 0000 C CNN +F 1 "MPCIE-Socket" H 3700 1900 60 0000 C CNN +F 2 "mpcie:mpcie-socket" H 3700 2450 60 0001 C CNN +F 3 "" H 3700 2450 60 0000 C CNN + 1 3600 3450 + 1 0 0 -1 +$EndComp +Text GLabel 2950 3200 0 60 Input ~ 0 +PCIE_TX_P +Text GLabel 2950 3300 0 60 Input ~ 0 +PCIE_TX_N +Text GLabel 2950 3700 0 60 Output ~ 0 +PCIE_RX_N +Text GLabel 2950 3600 0 60 Output ~ 0 +PCIE_RX_P +Text GLabel 2950 4900 0 60 Output ~ 0 +PCIE_WAKE +Text GLabel 2950 4400 0 60 Input ~ 0 +PCIE_CLK_N +Text GLabel 2950 4300 0 60 Input ~ 0 +PCIE_CLK_P +$Comp +L GND #PWR070 +U 1 1 59F3E64E +P 2950 2700 +F 0 "#PWR070" H 2950 2450 50 0001 C CNN +F 1 "GND" H 2950 2550 50 0000 C CNN +F 2 "" H 2950 2700 50 0001 C CNN +F 3 "" H 2950 2700 50 0001 C CNN + 1 2950 2700 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR071 +U 1 1 59F3E663 +P 2950 3000 +F 0 "#PWR071" H 2950 2750 50 0001 C CNN +F 1 "GND" H 2950 2850 50 0000 C CNN +F 2 "" H 2950 3000 50 0001 C CNN +F 3 "" H 2950 3000 50 0001 C CNN + 1 2950 3000 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR072 +U 1 1 59F3E67D +P 2950 3800 +F 0 "#PWR072" H 2950 3550 50 0001 C CNN +F 1 "GND" H 2950 3650 50 0000 C CNN +F 2 "" H 2950 3800 50 0001 C CNN +F 3 "" H 2950 3800 50 0001 C CNN + 1 2950 3800 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR073 +U 1 1 59F3E68B +P 2950 3400 +F 0 "#PWR073" H 2950 3150 50 0001 C CNN +F 1 "GND" H 2950 3250 50 0000 C CNN +F 2 "" H 2950 3400 50 0001 C CNN +F 3 "" H 2950 3400 50 0001 C CNN + 1 2950 3400 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR074 +U 1 1 59F3E6A0 +P 2950 4200 +F 0 "#PWR074" H 2950 3950 50 0001 C CNN +F 1 "GND" H 2950 4050 50 0000 C CNN +F 2 "" H 2950 4200 50 0001 C CNN +F 3 "" H 2950 4200 50 0001 C CNN + 1 2950 4200 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR075 +U 1 1 59F3E6AE +P 2950 4500 +F 0 "#PWR075" H 2950 4250 50 0001 C CNN +F 1 "GND" H 2950 4350 50 0000 C CNN +F 2 "" H 2950 4500 50 0001 C CNN +F 3 "" H 2950 4500 50 0001 C CNN + 1 2950 4500 + 0 1 1 0 +$EndComp +$Comp +L +3V3 #PWR076 +U 1 1 59F3E8FD +P 4600 4900 +F 0 "#PWR076" H 4600 4750 50 0001 C CNN +F 1 "+3V3" H 4600 5040 50 0000 C CNN +F 2 "" H 4600 4900 50 0001 C CNN +F 3 "" H 4600 4900 50 0001 C CNN + 1 4600 4900 + 0 1 1 0 +$EndComp +$Comp +L +1V5 #PWR077 +U 1 1 59F3E918 +P 5800 4700 +F 0 "#PWR077" H 5800 4550 50 0001 C CNN +F 1 "+1V5" H 5800 4840 50 0000 C CNN +F 2 "" H 5800 4700 50 0001 C CNN +F 3 "" H 5800 4700 50 0001 C CNN + 1 5800 4700 + 0 1 1 0 +$EndComp +$Comp +L +3V3 #PWR078 +U 1 1 59F3EBC0 +P 2200 2800 +F 0 "#PWR078" H 2200 2650 50 0001 C CNN +F 1 "+3V3" H 2200 2940 50 0000 C CNN +F 2 "" H 2200 2800 50 0001 C CNN +F 3 "" H 2200 2800 50 0001 C CNN + 1 2200 2800 + 0 -1 -1 0 +$EndComp +$Comp +L C_Small C7 +U 1 1 59F3EE50 +P 4800 4550 +F 0 "C7" H 4810 4620 50 0000 L CNN +F 1 "100nF" H 4810 4470 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603_HandSoldering" H 4800 4550 50 0001 C CNN +F 3 "" H 4800 4550 50 0001 C CNN + 1 4800 4550 + -1 0 0 1 +$EndComp +Wire Wire Line + 2950 3100 2950 3000 +Wire Wire Line + 2950 3500 2950 3400 +Wire Wire Line + 4250 4900 4600 4900 +Wire Wire Line + 4250 4700 5800 4700 +Wire Wire Line + 4250 3500 4400 3500 +Wire Wire Line + 4400 2500 4400 4700 +Connection ~ 4400 4700 +Wire Wire Line + 4500 3700 4250 3700 +Wire Wire Line + 4500 2300 4500 4900 +Connection ~ 4500 4900 +Wire Wire Line + 4250 2500 4400 2500 +Connection ~ 4400 3500 +Wire Wire Line + 4250 2300 4550 2300 +Connection ~ 4500 3700 +Wire Wire Line + 2200 2800 2950 2800 +Wire Wire Line + 4800 4650 4800 4700 +Connection ~ 4800 4700 +$Comp +L C_Small C8 +U 1 1 59F3EFC2 +P 5100 4550 +F 0 "C8" H 5110 4620 50 0000 L CNN +F 1 "100nF" H 5110 4470 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603_HandSoldering" H 5100 4550 50 0001 C CNN +F 3 "" H 5100 4550 50 0001 C CNN + 1 5100 4550 + -1 0 0 1 +$EndComp +$Comp +L C_Small C9 +U 1 1 59F3EFDF +P 5400 4550 +F 0 "C9" H 5410 4620 50 0000 L CNN +F 1 "100nF" H 5410 4470 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603_HandSoldering" H 5400 4550 50 0001 C CNN +F 3 "" H 5400 4550 50 0001 C CNN + 1 5400 4550 + -1 0 0 1 +$EndComp +Wire Wire Line + 5100 4650 5100 4700 +Connection ~ 5100 4700 +Wire Wire Line + 5400 4650 5400 4700 +Connection ~ 5400 4700 +$Comp +L GND #PWR079 +U 1 1 59F3F085 +P 4800 4450 +F 0 "#PWR079" H 4800 4200 50 0001 C CNN +F 1 "GND" H 4800 4300 50 0000 C CNN +F 2 "" H 4800 4450 50 0001 C CNN +F 3 "" H 4800 4450 50 0001 C CNN + 1 4800 4450 + -1 0 0 1 +$EndComp +$Comp +L GND #PWR080 +U 1 1 59F3F0A3 +P 5100 4450 +F 0 "#PWR080" H 5100 4200 50 0001 C CNN +F 1 "GND" H 5100 4300 50 0000 C CNN +F 2 "" H 5100 4450 50 0001 C CNN +F 3 "" H 5100 4450 50 0001 C CNN + 1 5100 4450 + -1 0 0 1 +$EndComp +$Comp +L GND #PWR081 +U 1 1 59F3F0BA +P 5400 4450 +F 0 "#PWR081" H 5400 4200 50 0001 C CNN +F 1 "GND" H 5400 4300 50 0000 C CNN +F 2 "" H 5400 4450 50 0001 C CNN +F 3 "" H 5400 4450 50 0001 C CNN + 1 5400 4450 + -1 0 0 1 +$EndComp +Wire Wire Line + 2950 2800 2950 2900 +$Comp +L C_Small C2 +U 1 1 59F3F360 +P 2300 2700 +F 0 "C2" H 2310 2770 50 0000 L CNN +F 1 "100nF" H 2310 2620 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603_HandSoldering" H 2300 2700 50 0001 C CNN +F 3 "" H 2300 2700 50 0001 C CNN + 1 2300 2700 + -1 0 0 1 +$EndComp +$Comp +L C_Small C3 +U 1 1 59F3F41B +P 2600 2700 +F 0 "C3" H 2610 2770 50 0000 L CNN +F 1 "100nF" H 2610 2620 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603_HandSoldering" H 2600 2700 50 0001 C CNN +F 3 "" H 2600 2700 50 0001 C CNN + 1 2600 2700 + -1 0 0 1 +$EndComp +$Comp +L GND #PWR082 +U 1 1 59F3F469 +P 2300 2600 +F 0 "#PWR082" H 2300 2350 50 0001 C CNN +F 1 "GND" H 2300 2450 50 0000 C CNN +F 2 "" H 2300 2600 50 0001 C CNN +F 3 "" H 2300 2600 50 0001 C CNN + 1 2300 2600 + -1 0 0 1 +$EndComp +$Comp +L GND #PWR083 +U 1 1 59F3F493 +P 2600 2600 +F 0 "#PWR083" H 2600 2350 50 0001 C CNN +F 1 "GND" H 2600 2450 50 0000 C CNN +F 2 "" H 2600 2600 50 0001 C CNN +F 3 "" H 2600 2600 50 0001 C CNN + 1 2600 2600 + -1 0 0 1 +$EndComp +$Comp +L C_Small C4 +U 1 1 59F3F732 +P 4650 2300 +F 0 "C4" H 4660 2370 50 0000 L CNN +F 1 "100nF" H 4660 2220 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603_HandSoldering" H 4650 2300 50 0001 C CNN +F 3 "" H 4650 2300 50 0001 C CNN + 1 4650 2300 + 0 -1 -1 0 +$EndComp +Connection ~ 2600 2800 +Connection ~ 2300 2800 +Connection ~ 4500 2300 +$Comp +L C_Small C5 +U 1 1 59F3F84A +P 4650 2600 +F 0 "C5" H 4660 2670 50 0000 L CNN +F 1 "100nF" H 4660 2520 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603_HandSoldering" H 4650 2600 50 0001 C CNN +F 3 "" H 4650 2600 50 0001 C CNN + 1 4650 2600 + 0 -1 -1 0 +$EndComp +$Comp +L C_Small C6 +U 1 1 59F3F8A4 +P 4650 2900 +F 0 "C6" H 4660 2970 50 0000 L CNN +F 1 "100nF" H 4660 2820 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603_HandSoldering" H 4650 2900 50 0001 C CNN +F 3 "" H 4650 2900 50 0001 C CNN + 1 4650 2900 + 0 -1 -1 0 +$EndComp +Wire Wire Line + 4550 2600 4500 2600 +Connection ~ 4500 2600 +Wire Wire Line + 4550 2900 4500 2900 +Connection ~ 4500 2900 +$Comp +L GND #PWR084 +U 1 1 59F3F99D +P 4750 2300 +F 0 "#PWR084" H 4750 2050 50 0001 C CNN +F 1 "GND" H 4750 2150 50 0000 C CNN +F 2 "" H 4750 2300 50 0001 C CNN +F 3 "" H 4750 2300 50 0001 C CNN + 1 4750 2300 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR085 +U 1 1 59F3F9CA +P 4750 2600 +F 0 "#PWR085" H 4750 2350 50 0001 C CNN +F 1 "GND" H 4750 2450 50 0000 C CNN +F 2 "" H 4750 2600 50 0001 C CNN +F 3 "" H 4750 2600 50 0001 C CNN + 1 4750 2600 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR086 +U 1 1 59F3F9F0 +P 4750 2900 +F 0 "#PWR086" H 4750 2650 50 0001 C CNN +F 1 "GND" H 4750 2750 50 0000 C CNN +F 2 "" H 4750 2900 50 0001 C CNN +F 3 "" H 4750 2900 50 0001 C CNN + 1 4750 2900 + 0 -1 -1 0 +$EndComp +$Comp +L C_Small C10 +U 1 1 59F3FCE3 +P 5700 4550 +F 0 "C10" H 5710 4620 50 0000 L CNN +F 1 "4.7uF" H 5710 4470 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603_HandSoldering" H 5700 4550 50 0001 C CNN +F 3 "" H 5700 4550 50 0001 C CNN + 1 5700 4550 + -1 0 0 1 +$EndComp +$Comp +L GND #PWR087 +U 1 1 59F3FD6F +P 5700 4450 +F 0 "#PWR087" H 5700 4200 50 0001 C CNN +F 1 "GND" H 5700 4300 50 0000 C CNN +F 2 "" H 5700 4450 50 0001 C CNN +F 3 "" H 5700 4450 50 0001 C CNN + 1 5700 4450 + -1 0 0 1 +$EndComp +$Comp +L GND #PWR088 +U 1 1 5A004773 +P 4250 2400 +F 0 "#PWR088" H 4250 2150 50 0001 C CNN +F 1 "GND" H 4250 2250 50 0000 C CNN +F 2 "" H 4250 2400 50 0001 C CNN +F 3 "" H 4250 2400 50 0001 C CNN + 1 4250 2400 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR089 +U 1 1 5A0047A3 +P 4250 2900 +F 0 "#PWR089" H 4250 2650 50 0001 C CNN +F 1 "GND" H 4250 2750 50 0000 C CNN +F 2 "" H 4250 2900 50 0001 C CNN +F 3 "" H 4250 2900 50 0001 C CNN + 1 4250 2900 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR090 +U 1 1 5A0047CC +P 4250 3200 +F 0 "#PWR090" H 4250 2950 50 0001 C CNN +F 1 "GND" H 4250 3050 50 0000 C CNN +F 2 "" H 4250 3200 50 0001 C CNN +F 3 "" H 4250 3200 50 0001 C CNN + 1 4250 3200 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR091 +U 1 1 5A0047F5 +P 4250 3600 +F 0 "#PWR091" H 4250 3350 50 0001 C CNN +F 1 "GND" H 4250 3450 50 0000 C CNN +F 2 "" H 4250 3600 50 0001 C CNN +F 3 "" H 4250 3600 50 0001 C CNN + 1 4250 3600 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR092 +U 1 1 5A00481E +P 4250 4000 +F 0 "#PWR092" H 4250 3750 50 0001 C CNN +F 1 "GND" H 4250 3850 50 0000 C CNN +F 2 "" H 4250 4000 50 0001 C CNN +F 3 "" H 4250 4000 50 0001 C CNN + 1 4250 4000 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR093 +U 1 1 5A004847 +P 4250 4800 +F 0 "#PWR093" H 4250 4550 50 0001 C CNN +F 1 "GND" H 4250 4650 50 0000 C CNN +F 2 "" H 4250 4800 50 0001 C CNN +F 3 "" H 4250 4800 50 0001 C CNN + 1 4250 4800 + 0 -1 -1 0 +$EndComp +$EndSCHEMATC diff --git a/reform-power.sch b/reform-power.sch @@ -0,0 +1,55 @@ +EESchema Schematic File Version 2 +LIBS:power +LIBS:device +LIBS:switches +LIBS:relays +LIBS:motors +LIBS:transistors +LIBS:conn +LIBS:linear +LIBS:regul +LIBS:74xx +LIBS:cmos4000 +LIBS:adc-dac +LIBS:memory +LIBS:xilinx +LIBS:microcontrollers +LIBS:dsp +LIBS:microchip +LIBS:analog_switches +LIBS:motorola +LIBS:texas +LIBS:intel +LIBS:audio +LIBS:interface +LIBS:digital-audio +LIBS:philips +LIBS:display +LIBS:cypress +LIBS:siliconi +LIBS:opto +LIBS:atmel +LIBS:contrib +LIBS:valves +LIBS:DF40C-100DS-0.4V_51_ +LIBS:5622-4100-ML +LIBS:mpcie +LIBS:TPS54616PWPR +LIBS:conn_hdmi +LIBS:J0G-0003NL +LIBS:reform-motherboard-cache +EELAYER 25 0 +EELAYER END +$Descr A4 11693 8268 +encoding utf-8 +Sheet 2 6 +Title "" +Date "" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$EndSCHEMATC diff --git a/reform-sata-sd.sch b/reform-sata-sd.sch @@ -0,0 +1,284 @@ +EESchema Schematic File Version 2 +LIBS:power +LIBS:device +LIBS:switches +LIBS:relays +LIBS:motors +LIBS:transistors +LIBS:conn +LIBS:linear +LIBS:regul +LIBS:74xx +LIBS:cmos4000 +LIBS:adc-dac +LIBS:memory +LIBS:xilinx +LIBS:microcontrollers +LIBS:dsp +LIBS:microchip +LIBS:analog_switches +LIBS:motorola +LIBS:texas +LIBS:intel +LIBS:audio +LIBS:interface +LIBS:digital-audio +LIBS:philips +LIBS:display +LIBS:cypress +LIBS:siliconi +LIBS:opto +LIBS:atmel +LIBS:contrib +LIBS:valves +LIBS:DF40C-100DS-0.4V_51_ +LIBS:5622-4100-ML +LIBS:mpcie +LIBS:TPS54616PWPR +LIBS:conn_hdmi +LIBS:J0G-0003NL +LIBS:reform-motherboard-cache +EELAYER 25 0 +EELAYER END +$Descr A4 11693 8268 +encoding utf-8 +Sheet 3 6 +Title "" +Date "" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L Micro_SD_Card_Det J4 +U 1 1 59F3B502 +P 2650 2700 +F 0 "J4" H 2000 3400 50 0000 C CNN +F 1 "Micro_SD_Card_Det" H 3300 3400 50 0000 R CNN +F 2 "Connect:microSD_Card_Receptacle_Wuerth_693072010801" H 4700 3400 50 0001 C CNN +F 3 "" H 2650 2800 50 0001 C CNN + 1 2650 2700 + 1 0 0 -1 +$EndComp +$Comp +L 5622-4100-ML P1 +U 1 1 59F3B793 +P 5450 3200 +F 0 "P1" H 5150 4421 50 0000 L BNN +F 1 "5622-4100-ML" H 5150 1898 50 0000 L BNN +F 2 "5622-4100-ML:3M_5622-4100-ML" H 5450 3200 50 0001 L BNN +F 3 "5622-4100-ML" H 5450 3200 50 0001 L BNN +F 4 "2.22 USD" H 5450 3200 50 0001 L BNN "Price" +F 5 "3M" H 5450 3200 50 0001 L BNN "MF" +F 6 "None" H 5450 3200 50 0001 L BNN "Package" +F 7 "Conn SATA PL 15Power/7Signal POS 1.27mm Solder RA Thru-Hole 22 Terminal 1 Port" H 5450 3200 50 0001 L BNN "Description" +F 8 "Good" H 5450 3200 50 0001 L BNN "Availability" + 1 5450 3200 + 1 0 0 -1 +$EndComp +Text GLabel 4950 2500 0 60 Output ~ 0 +SATA_RX_N +Text GLabel 4950 2600 0 60 Output ~ 0 +SATA_RX_P +Text GLabel 4950 2200 0 60 Input ~ 0 +SATA_TX_P +Text GLabel 4950 2300 0 60 Input ~ 0 +SATA_TX_N +$Comp +L GND #PWR057 +U 1 1 59F3BAAB +P 4950 2100 +F 0 "#PWR057" H 4950 1850 50 0001 C CNN +F 1 "GND" H 4950 1950 50 0000 C CNN +F 2 "" H 4950 2100 50 0001 C CNN +F 3 "" H 4950 2100 50 0001 C CNN + 1 4950 2100 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR058 +U 1 1 59F3BACB +P 4950 2400 +F 0 "#PWR058" H 4950 2150 50 0001 C CNN +F 1 "GND" H 4950 2250 50 0000 C CNN +F 2 "" H 4950 2400 50 0001 C CNN +F 3 "" H 4950 2400 50 0001 C CNN + 1 4950 2400 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR059 +U 1 1 59F3BADC +P 4950 2700 +F 0 "#PWR059" H 4950 2450 50 0001 C CNN +F 1 "GND" H 4950 2550 50 0000 C CNN +F 2 "" H 4950 2700 50 0001 C CNN +F 3 "" H 4950 2700 50 0001 C CNN + 1 4950 2700 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR060 +U 1 1 59F3BAFB +P 4950 3300 +F 0 "#PWR060" H 4950 3050 50 0001 C CNN +F 1 "GND" H 4950 3150 50 0000 C CNN +F 2 "" H 4950 3300 50 0001 C CNN +F 3 "" H 4950 3300 50 0001 C CNN + 1 4950 3300 + 0 1 1 0 +$EndComp +Wire Wire Line + 4950 3200 4950 3400 +Connection ~ 4950 3300 +$Comp +L GND #PWR061 +U 1 1 59F3BB16 +P 4950 3800 +F 0 "#PWR061" H 4950 3550 50 0001 C CNN +F 1 "GND" H 4950 3650 50 0000 C CNN +F 2 "" H 4950 3800 50 0001 C CNN +F 3 "" H 4950 3800 50 0001 C CNN + 1 4950 3800 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR062 +U 1 1 59F3BB27 +P 4950 4000 +F 0 "#PWR062" H 4950 3750 50 0001 C CNN +F 1 "GND" H 4950 3850 50 0000 C CNN +F 2 "" H 4950 4000 50 0001 C CNN +F 3 "" H 4950 4000 50 0001 C CNN + 1 4950 4000 + 0 1 1 0 +$EndComp +$Comp +L +3V3 #PWR063 +U 1 1 59F3BD2D +P 4950 3000 +F 0 "#PWR063" H 4950 2850 50 0001 C CNN +F 1 "+3V3" H 4950 3140 50 0000 C CNN +F 2 "" H 4950 3000 50 0001 C CNN +F 3 "" H 4950 3000 50 0001 C CNN + 1 4950 3000 + 0 -1 -1 0 +$EndComp +Wire Wire Line + 4950 2900 4950 3100 +Connection ~ 4950 3000 +$Comp +L +5V #PWR064 +U 1 1 59F3BD57 +P 4950 3600 +F 0 "#PWR064" H 4950 3450 50 0001 C CNN +F 1 "+5V" H 4950 3740 50 0000 C CNN +F 2 "" H 4950 3600 50 0001 C CNN +F 3 "" H 4950 3600 50 0001 C CNN + 1 4950 3600 + 0 -1 -1 0 +$EndComp +Wire Wire Line + 4950 3500 4950 3700 +Connection ~ 4950 3600 +Text GLabel 1750 2900 0 60 BiDi ~ 0 +SD3_DATA0 +Text GLabel 1750 3000 0 60 BiDi ~ 0 +SD3_DATA1 +Text GLabel 1750 2300 0 60 BiDi ~ 0 +SD3_DATA2 +Text GLabel 1750 2400 0 60 BiDi ~ 0 +SD3_DATA3 +Text GLabel 1650 3550 0 60 BiDi ~ 0 +SD3_WP +Text GLabel 1750 3100 0 60 Input ~ 0 +SD3_CD +Text GLabel 1750 2500 0 60 BiDi ~ 0 +SD3_CMD +Text GLabel 1750 2700 0 60 Output ~ 0 +SD3_CLK +$Comp +L GND #PWR065 +U 1 1 59F3C8F9 +P 1750 3850 +F 0 "#PWR065" H 1750 3600 50 0001 C CNN +F 1 "GND" H 1750 3700 50 0000 C CNN +F 2 "" H 1750 3850 50 0001 C CNN +F 3 "" H 1750 3850 50 0001 C CNN + 1 1750 3850 + 1 0 0 -1 +$EndComp +$Comp +L R R1 +U 1 1 59F3C913 +P 1750 3700 +F 0 "R1" V 1830 3700 50 0000 C CNN +F 1 "0" V 1750 3700 50 0000 C CNN +F 2 "Resistors_SMD:R_0603_HandSoldering" V 1680 3700 50 0001 C CNN +F 3 "" H 1750 3700 50 0001 C CNN + 1 1750 3700 + 1 0 0 -1 +$EndComp +Wire Wire Line + 1750 3550 1650 3550 +$Comp +L GND #PWR066 +U 1 1 59F3CBCC +P 3450 3200 +F 0 "#PWR066" H 3450 2950 50 0001 C CNN +F 1 "GND" H 3450 3050 50 0000 C CNN +F 2 "" H 3450 3200 50 0001 C CNN +F 3 "" H 3450 3200 50 0001 C CNN + 1 3450 3200 + 1 0 0 -1 +$EndComp +$Comp +L +3V3 #PWR067 +U 1 1 59F3CDDC +P 800 2600 +F 0 "#PWR067" H 800 2450 50 0001 C CNN +F 1 "+3V3" H 800 2740 50 0000 C CNN +F 2 "" H 800 2600 50 0001 C CNN +F 3 "" H 800 2600 50 0001 C CNN + 1 800 2600 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C1 +U 1 1 59F3CE15 +P 800 2700 +F 0 "C1" H 810 2770 50 0000 L CNN +F 1 "100nF" H 810 2620 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603_HandSoldering" H 800 2700 50 0001 C CNN +F 3 "" H 800 2700 50 0001 C CNN + 1 800 2700 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR068 +U 1 1 59F3CE96 +P 800 2800 +F 0 "#PWR068" H 800 2550 50 0001 C CNN +F 1 "GND" H 800 2650 50 0000 C CNN +F 2 "" H 800 2800 50 0001 C CNN +F 3 "" H 800 2800 50 0001 C CNN + 1 800 2800 + 1 0 0 -1 +$EndComp +Wire Wire Line + 1750 2600 800 2600 +$Comp +L GND #PWR069 +U 1 1 59F3D112 +P 1750 2800 +F 0 "#PWR069" H 1750 2550 50 0001 C CNN +F 1 "GND" H 1750 2650 50 0000 C CNN +F 2 "" H 1750 2800 50 0001 C CNN +F 3 "" H 1750 2800 50 0001 C CNN + 1 1750 2800 + 0 1 1 0 +$EndComp +$EndSCHEMATC