reform

MNT Reform: Open Source Portable Computer
Log (Feed) | Files | Refs (Tags) | README

commit 13b1aa39191c4d6b5e8b4e852923dbb266b85d22
parent ca3631bfcd1f21bb3d95994edea51002afbcc3d6
Author: Lukas F. Hartmann <lukas@mntre.com>
Date:   Mon, 22 Aug 2022 15:40:02 +0200

trackball pcb: add v2 with rp2040 mcu

Diffstat:
Areform2-trackball2-pcb/footprints/Diptronics-PTLP2.kicad_mod | 36++++++++++++++++++++++++++++++++++++
Areform2-trackball2-pcb/footprints/MCU_RaspberryPi_and_Boards.pretty/Crystal_SMD_HC49-US.kicad_mod | 37+++++++++++++++++++++++++++++++++++++
Areform2-trackball2-pcb/footprints/MCU_RaspberryPi_and_Boards.pretty/RP2040-QFN-56.kicad_mod | 105+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Areform2-trackball2-pcb/footprints/MCU_RaspberryPi_and_Boards.pretty/RPi_Pico_SMD_TH.kicad_mod | 292+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Areform2-trackball2-pcb/footprints/SK6805-EC15.kicad_mod | 23+++++++++++++++++++++++
Areform2-trackball2-pcb/footprints/mntreform-trackball-badge.kicad_mod | 639+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Areform2-trackball2-pcb/lib/MCU_RaspberryPi_and_Boards.lib | 131+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Areform2-trackball2-pcb/lib/pocket-reform-keyboard.kicad_sym | 115+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Areform2-trackball2-pcb/reform2-trackball2.kicad_pcb | 25341+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Areform2-trackball2-pcb/reform2-trackball2.kicad_pro | 493+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Areform2-trackball2-pcb/reform2-trackball2.kicad_sch | 6206+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
11 files changed, 33418 insertions(+), 0 deletions(-)

diff --git a/reform2-trackball2-pcb/footprints/Diptronics-PTLP2.kicad_mod b/reform2-trackball2-pcb/footprints/Diptronics-PTLP2.kicad_mod @@ -0,0 +1,36 @@ +(module Diptronics-PTLP2 (layer F.Cu) (tedit 5F8DFA95) + (descr "CK components KMR2 tactile switch http://www.ckswitches.com/media/1479/kmr2.pdf") + (tags "tactile switch kmr2") + (attr smd) + (fp_text reference SW6 (at 0 -2.45) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value SW_RST (at 0 2.55) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.2 0.05) (end -2.2 -0.05) (layer F.SilkS) (width 0.12)) + (fp_line (start 2.2 -1.55) (end -2.2 -1.55) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.2 1.55) (end 2.2 1.55) (layer F.SilkS) (width 0.12)) + (fp_circle (center 0 0) (end 0 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -3.375 1.8) (end -3.375 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.375 1.8) (end -3.375 1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.375 -1.8) (end 3.375 1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.375 -1.8) (end 3.375 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.2 0.05) (end 2.2 -0.05) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.1 1.4) (end -2.1 -1.4) (layer F.Fab) (width 0.1)) + (fp_line (start 2.1 1.4) (end -2.1 1.4) (layer F.Fab) (width 0.1)) + (fp_line (start 2.1 -1.4) (end 2.1 1.4) (layer F.Fab) (width 0.1)) + (fp_line (start -2.1 -1.4) (end 2.1 -1.4) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 -2.45) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 2 smd rect (at 2.425 0.85 90) (size 1 1.75) (layers F.Cu F.Paste F.Mask)) + (pad 1 smd rect (at 2.425 -0.85 90) (size 1 1.75) (layers F.Cu F.Paste F.Mask)) + (pad 2 smd rect (at -2.425 0.85 90) (size 1 1.75) (layers F.Cu F.Paste F.Mask)) + (pad 1 smd rect (at -2.425 -0.85 90) (size 1 1.75) (layers F.Cu F.Paste F.Mask)) + (model ${KIPRJMOD}/3d-models/KMR221GLFS.step + (offset (xyz 0 0 1.4)) + (scale (xyz 1 1 1)) + (rotate (xyz -90 0 0)) + ) +) diff --git a/reform2-trackball2-pcb/footprints/MCU_RaspberryPi_and_Boards.pretty/Crystal_SMD_HC49-US.kicad_mod b/reform2-trackball2-pcb/footprints/MCU_RaspberryPi_and_Boards.pretty/Crystal_SMD_HC49-US.kicad_mod @@ -0,0 +1,37 @@ +(module Crystal_SMD_HC49-US (layer F.Cu) (tedit 5F0C7995) + (descr "SMD Crystal HC-49-SD http://cdn-reichelt.de/documents/datenblatt/B400/xxx-HC49-SMD.pdf, 11.4x4.7mm^2 package") + (tags "SMD SMT crystal") + (attr smd) + (fp_text reference Y1 (at 0 -3.55) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value ABLS-12.000MHZ-B4-T (at 0 3.55) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -6.7 1.3) (end -6.7 2.55) (layer F.SilkS) (width 0.12)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -5.7 -2.35) (end -5.7 2.35) (layer F.Fab) (width 0.1)) + (fp_line (start -5.7 2.35) (end 5.7 2.35) (layer F.Fab) (width 0.1)) + (fp_line (start 5.7 2.35) (end 5.7 -2.35) (layer F.Fab) (width 0.1)) + (fp_line (start 5.7 -2.35) (end -5.7 -2.35) (layer F.Fab) (width 0.1)) + (fp_line (start -3.015 -2.115) (end 3.015 -2.115) (layer F.Fab) (width 0.1)) + (fp_line (start -3.015 2.115) (end 3.015 2.115) (layer F.Fab) (width 0.1)) + (fp_line (start 5.9 -2.55) (end -6.7 -2.55) (layer F.SilkS) (width 0.12)) + (fp_line (start -6.7 -2.55) (end -6.7 -1.3) (layer F.SilkS) (width 0.12)) + (fp_line (start -6.7 2.55) (end 5.9 2.55) (layer F.SilkS) (width 0.12)) + (fp_line (start -6.8 -2.6) (end -6.8 2.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -6.8 2.6) (end 6.8 2.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.8 2.6) (end 6.8 -2.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.8 -2.6) (end -6.8 -2.6) (layer F.CrtYd) (width 0.05)) + (fp_arc (start -3.015 0) (end -3.015 -2.115) (angle -180) (layer F.Fab) (width 0.1)) + (fp_arc (start 3.015 0) (end 3.015 -2.115) (angle 180) (layer F.Fab) (width 0.1)) + (pad 1 smd rect (at -4.5 0) (size 5.6 2.1) (layers F.Cu F.Paste F.Mask)) + (pad 2 smd rect (at 4.5 0) (size 5.6 2.1) (layers F.Cu F.Paste F.Mask)) + (model ${KISYS3DMOD}/Crystal.3dshapes/Crystal_SMD_HC49-SD.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) +) diff --git a/reform2-trackball2-pcb/footprints/MCU_RaspberryPi_and_Boards.pretty/RP2040-QFN-56.kicad_mod b/reform2-trackball2-pcb/footprints/MCU_RaspberryPi_and_Boards.pretty/RP2040-QFN-56.kicad_mod @@ -0,0 +1,105 @@ +(module RP2040-QFN-56 (layer F.Cu) (tedit 5EF32B43) + (descr "QFN, 56 Pin (http://www.cypress.com/file/416486/download#page=40), generated with kicad-footprint-generator ipc_dfn_qfn_generator.py") + (tags "QFN DFN_QFN") + (attr smd) + (fp_text reference REF** (at 0 -4.82) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value Pico2040-QFN-56 (at 0 4.82) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 4.12 -4.12) (end -4.12 -4.12) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.12 4.12) (end 4.12 -4.12) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.12 4.12) (end 4.12 4.12) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.12 -4.12) (end -4.12 4.12) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.5 -2.5) (end -2.5 -3.5) (layer F.Fab) (width 0.1)) + (fp_line (start -3.5 3.5) (end -3.5 -2.5) (layer F.Fab) (width 0.1)) + (fp_line (start 3.5 3.5) (end -3.5 3.5) (layer F.Fab) (width 0.1)) + (fp_line (start 3.5 -3.5) (end 3.5 3.5) (layer F.Fab) (width 0.1)) + (fp_line (start -2.5 -3.5) (end 3.5 -3.5) (layer F.Fab) (width 0.1)) + (fp_line (start -2.96 -3.61) (end -3.61 -3.61) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.61 3.61) (end 3.61 2.96) (layer F.SilkS) (width 0.12)) + (fp_line (start 2.96 3.61) (end 3.61 3.61) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.61 3.61) (end -3.61 2.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.96 3.61) (end -3.61 3.61) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.61 -3.61) (end 3.61 -2.96) (layer F.SilkS) (width 0.12)) + (fp_line (start 2.96 -3.61) (end 3.61 -3.61) (layer F.SilkS) (width 0.12)) + (pad 56 smd roundrect (at -2.6 -3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 55 smd roundrect (at -2.2 -3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 54 smd roundrect (at -1.8 -3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 53 smd roundrect (at -1.4 -3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 52 smd roundrect (at -1 -3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 51 smd roundrect (at -0.6 -3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 50 smd roundrect (at -0.2 -3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 49 smd roundrect (at 0.2 -3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 48 smd roundrect (at 0.6 -3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 47 smd roundrect (at 1 -3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 46 smd roundrect (at 1.4 -3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 45 smd roundrect (at 1.8 -3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 44 smd roundrect (at 2.2 -3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 43 smd roundrect (at 2.6 -3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 42 smd roundrect (at 3.4375 -2.6) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 41 smd roundrect (at 3.4375 -2.2) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 40 smd roundrect (at 3.4375 -1.8) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 39 smd roundrect (at 3.4375 -1.4) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 38 smd roundrect (at 3.4375 -1) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 37 smd roundrect (at 3.4375 -0.6) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 36 smd roundrect (at 3.4375 -0.2) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 35 smd roundrect (at 3.4375 0.2) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 34 smd roundrect (at 3.4375 0.6) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 33 smd roundrect (at 3.4375 1) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 32 smd roundrect (at 3.4375 1.4) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 31 smd roundrect (at 3.4375 1.8) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 30 smd roundrect (at 3.4375 2.2) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 29 smd roundrect (at 3.4375 2.6) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 28 smd roundrect (at 2.6 3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 27 smd roundrect (at 2.2 3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 26 smd roundrect (at 1.8 3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 25 smd roundrect (at 1.4 3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 24 smd roundrect (at 1 3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 23 smd roundrect (at 0.6 3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 22 smd roundrect (at 0.2 3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 21 smd roundrect (at -0.2 3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 20 smd roundrect (at -0.6 3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 19 smd roundrect (at -1 3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 18 smd roundrect (at -1.4 3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 17 smd roundrect (at -1.8 3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 16 smd roundrect (at -2.2 3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 15 smd roundrect (at -2.6 3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 14 smd roundrect (at -3.4375 2.6) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 13 smd roundrect (at -3.4375 2.2) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 12 smd roundrect (at -3.4375 1.8) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 11 smd roundrect (at -3.4375 1.4) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 10 smd roundrect (at -3.4375 1) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 9 smd roundrect (at -3.4375 0.6) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 8 smd roundrect (at -3.4375 0.2) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 7 smd roundrect (at -3.4375 -0.2) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 6 smd roundrect (at -3.4375 -0.6) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 5 smd roundrect (at -3.4375 -1) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 4 smd roundrect (at -3.4375 -1.4) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 3 smd roundrect (at -3.4375 -1.8) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 2 smd roundrect (at -3.4375 -2.2) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 1 smd roundrect (at -3.4375 -2.6) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad "" smd roundrect (at 0.6375 0.6375) (size 1.084435 1.084435) (layers F.Paste) (roundrect_rratio 0.230535)) + (pad "" smd roundrect (at 0.6375 -0.6375) (size 1.084435 1.084435) (layers F.Paste) (roundrect_rratio 0.230535)) + (pad "" smd roundrect (at -0.6375 0.6375) (size 1.084435 1.084435) (layers F.Paste) (roundrect_rratio 0.230535)) + (pad "" smd roundrect (at -0.6375 -0.6375) (size 1.084435 1.084435) (layers F.Paste) (roundrect_rratio 0.230535)) + (pad 57 thru_hole circle (at 1.275 1.275) (size 0.6 0.6) (drill 0.35) (layers *.Cu)) + (pad 57 thru_hole circle (at 0 1.275) (size 0.6 0.6) (drill 0.35) (layers *.Cu)) + (pad 57 thru_hole circle (at -1.275 1.275) (size 0.6 0.6) (drill 0.35) (layers *.Cu)) + (pad 57 thru_hole circle (at 1.275 0) (size 0.6 0.6) (drill 0.35) (layers *.Cu)) + (pad 57 thru_hole circle (at 0 0) (size 0.6 0.6) (drill 0.35) (layers *.Cu)) + (pad 57 thru_hole circle (at -1.275 0) (size 0.6 0.6) (drill 0.35) (layers *.Cu)) + (pad 57 thru_hole circle (at 1.275 -1.275) (size 0.6 0.6) (drill 0.35) (layers *.Cu)) + (pad 57 thru_hole circle (at 0 -1.275) (size 0.6 0.6) (drill 0.35) (layers *.Cu)) + (pad 57 thru_hole circle (at -1.275 -1.275) (size 0.6 0.6) (drill 0.35) (layers *.Cu)) + (pad 57 smd roundrect (at 0 0) (size 3.2 3.2) (layers F.Cu F.Mask) (roundrect_rratio 0.045)) + (model ${KISYS3DMOD}/Package_DFN_QFN.3dshapes/QFN-56-1EP_7x7mm_P0.4mm_EP5.6x5.6mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) +) diff --git a/reform2-trackball2-pcb/footprints/MCU_RaspberryPi_and_Boards.pretty/RPi_Pico_SMD_TH.kicad_mod b/reform2-trackball2-pcb/footprints/MCU_RaspberryPi_and_Boards.pretty/RPi_Pico_SMD_TH.kicad_mod @@ -0,0 +1,292 @@ +(module RPi_Pico_SMD_TH (layer F.Cu) (tedit 5F638C80) + (descr "Through hole straight pin header, 2x20, 2.54mm pitch, double rows") + (tags "Through hole pin header THT 2x20 2.54mm double row") + (fp_text reference REF** (at 0 0) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value RPi_Pico_SMD_TH (at 0 2.159) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 1.1 25.5) (end 1.5 25.5) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.5 25.5) (end -1.1 25.5) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.5 25.5) (end 3.7 25.5) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.5 15.1) (end 10.5 15.5) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.5 7.4) (end 10.5 7.8) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.5 -18) (end 10.5 -17.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.5 -25.5) (end 10.5 -25.2) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.5 -2.7) (end 10.5 -2.3) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.5 12.5) (end 10.5 12.9) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.5 -7.8) (end 10.5 -7.4) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.5 -12.9) (end 10.5 -12.5) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.5 -0.2) (end 10.5 0.2) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.5 4.9) (end 10.5 5.3) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.5 20.1) (end 10.5 20.5) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.5 22.7) (end 10.5 23.1) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.5 17.6) (end 10.5 18) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.5 -15.4) (end 10.5 -15) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.5 -23.1) (end 10.5 -22.7) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.5 -20.5) (end 10.5 -20.1) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.5 10) (end 10.5 10.4) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.5 2.3) (end 10.5 2.7) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.5 -5.3) (end 10.5 -4.9) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.5 -10.4) (end 10.5 -10) (layer F.SilkS) (width 0.12)) + (fp_line (start -10.5 22.7) (end -10.5 23.1) (layer F.SilkS) (width 0.12)) + (fp_line (start -10.5 20.1) (end -10.5 20.5) (layer F.SilkS) (width 0.12)) + (fp_line (start -10.5 17.6) (end -10.5 18) (layer F.SilkS) (width 0.12)) + (fp_line (start -10.5 15.1) (end -10.5 15.5) (layer F.SilkS) (width 0.12)) + (fp_line (start -10.5 12.5) (end -10.5 12.9) (layer F.SilkS) (width 0.12)) + (fp_line (start -10.5 10) (end -10.5 10.4) (layer F.SilkS) (width 0.12)) + (fp_line (start -10.5 7.4) (end -10.5 7.8) (layer F.SilkS) (width 0.12)) + (fp_line (start -10.5 4.9) (end -10.5 5.3) (layer F.SilkS) (width 0.12)) + (fp_line (start -10.5 2.3) (end -10.5 2.7) (layer F.SilkS) (width 0.12)) + (fp_line (start -10.5 -0.2) (end -10.5 0.2) (layer F.SilkS) (width 0.12)) + (fp_line (start -10.5 -2.7) (end -10.5 -2.3) (layer F.SilkS) (width 0.12)) + (fp_line (start -10.5 -5.3) (end -10.5 -4.9) (layer F.SilkS) (width 0.12)) + (fp_line (start -10.5 -7.8) (end -10.5 -7.4) (layer F.SilkS) (width 0.12)) + (fp_line (start -10.5 -10.4) (end -10.5 -10) (layer F.SilkS) (width 0.12)) + (fp_line (start -10.5 -12.9) (end -10.5 -12.5) (layer F.SilkS) (width 0.12)) + (fp_line (start -10.5 -15.4) (end -10.5 -15) (layer F.SilkS) (width 0.12)) + (fp_line (start -10.5 -18) (end -10.5 -17.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -10.5 -20.5) (end -10.5 -20.1) (layer F.SilkS) (width 0.12)) + (fp_line (start -10.5 -23.1) (end -10.5 -22.7) (layer F.SilkS) (width 0.12)) + (fp_line (start -10.5 -25.5) (end -10.5 -25.2) (layer F.SilkS) (width 0.12)) + (fp_line (start -7.493 -22.833) (end -7.493 -25.5) (layer F.SilkS) (width 0.12)) + (fp_line (start -10.5 -22.833) (end -7.493 -22.833) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.7 25.5) (end -10.5 25.5) (layer F.SilkS) (width 0.12)) + (fp_line (start -10.5 -25.5) (end 10.5 -25.5) (layer F.SilkS) (width 0.12)) + (fp_line (start -11 26) (end -11 -26) (layer F.CrtYd) (width 0.12)) + (fp_line (start 11 26) (end -11 26) (layer F.CrtYd) (width 0.12)) + (fp_line (start 11 -26) (end 11 26) (layer F.CrtYd) (width 0.12)) + (fp_line (start -11 -26) (end 11 -26) (layer F.CrtYd) (width 0.12)) + (fp_line (start -10.5 -24.2) (end -9.2 -25.5) (layer F.Fab) (width 0.12)) + (fp_line (start -10.5 25.5) (end -10.5 -25.5) (layer F.Fab) (width 0.12)) + (fp_line (start 10.5 25.5) (end -10.5 25.5) (layer F.Fab) (width 0.12)) + (fp_line (start 10.5 -25.5) (end 10.5 25.5) (layer F.Fab) (width 0.12)) + (fp_line (start -10.5 -25.5) (end 10.5 -25.5) (layer F.Fab) (width 0.12)) + (fp_text user %R (at 0 0 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user GP1 (at -12.9 -21.6 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user GP2 (at -12.9 -16.51 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user GP0 (at -12.8 -24.13 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user GP3 (at -12.8 -13.97 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user GP4 (at -12.8 -11.43 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user GP5 (at -12.8 -8.89 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user GP6 (at -12.8 -3.81 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user GP7 (at -12.7 -1.3 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user GP8 (at -12.8 1.27 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user GP9 (at -12.8 3.81 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user GP10 (at -13.054 8.89 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user GP11 (at -13.2 11.43 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user GP12 (at -13.2 13.97 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user GP13 (at -13.054 16.51 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user GP14 (at -13.1 21.59 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user GP15 (at -13.054 24.13 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user GP16 (at 13.054 24.13 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user GP17 (at 13.054 21.59 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user GP18 (at 13.054 16.51 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user GP19 (at 13.054 13.97 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user GP20 (at 13.054 11.43 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user GP21 (at 13.054 8.9 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user GP22 (at 13.054 3.81 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user RUN (at 13 1.27 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user GP26 (at 13.054 -1.27 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user GP27 (at 13.054 -3.8 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user GP28 (at 13.054 -9.144 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user ADC_VREF (at 14 -12.5 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user 3V3 (at 12.9 -13.9 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user 3V3_EN (at 13.7 -17.2 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user VSYS (at 13.2 -21.59 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user VBUS (at 13.3 -24.2 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user GND (at -12.8 -19.05 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user GND (at -12.8 -6.35 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user GND (at -12.8 6.35 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user GND (at -12.8 19.05 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user GND (at 12.8 19.05 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user GND (at 12.8 6.35 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user GND (at 12.8 -19.05 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user AGND (at 13.054 -6.35 45) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user SWCLK (at -5.7 26.2) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text user SWDIO (at 5.6 26.2) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_poly (pts (xy -1.5 -16.5) (xy -3.5 -16.5) (xy -3.5 -18.5) (xy -1.5 -18.5)) (layer Dwgs.User) (width 0.1)) + (fp_poly (pts (xy -1.5 -14) (xy -3.5 -14) (xy -3.5 -16) (xy -1.5 -16)) (layer Dwgs.User) (width 0.1)) + (fp_poly (pts (xy -1.5 -11.5) (xy -3.5 -11.5) (xy -3.5 -13.5) (xy -1.5 -13.5)) (layer Dwgs.User) (width 0.1)) + (fp_poly (pts (xy 3.7 -20.2) (xy -3.7 -20.2) (xy -3.7 -24.9) (xy 3.7 -24.9)) (layer Dwgs.User) (width 0.1)) + (fp_text user "Copper Keepouts shown on Dwgs layer" (at 0.1 -30.2) (layer Cmts.User) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole oval (at -8.89 -24.13) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 2 thru_hole oval (at -8.89 -21.59) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 3 thru_hole rect (at -8.89 -19.05) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 4 thru_hole oval (at -8.89 -16.51) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 5 thru_hole oval (at -8.89 -13.97) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 6 thru_hole oval (at -8.89 -11.43) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 7 thru_hole oval (at -8.89 -8.89) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 8 thru_hole rect (at -8.89 -6.35) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 9 thru_hole oval (at -8.89 -3.81) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 10 thru_hole oval (at -8.89 -1.27) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 11 thru_hole oval (at -8.89 1.27) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 12 thru_hole oval (at -8.89 3.81) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 13 thru_hole rect (at -8.89 6.35) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 14 thru_hole oval (at -8.89 8.89) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 15 thru_hole oval (at -8.89 11.43) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 16 thru_hole oval (at -8.89 13.97) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 17 thru_hole oval (at -8.89 16.51) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 18 thru_hole rect (at -8.89 19.05) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 19 thru_hole oval (at -8.89 21.59) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 20 thru_hole oval (at -8.89 24.13) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 21 thru_hole oval (at 8.89 24.13) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 22 thru_hole oval (at 8.89 21.59) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 23 thru_hole rect (at 8.89 19.05) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 24 thru_hole oval (at 8.89 16.51) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 25 thru_hole oval (at 8.89 13.97) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 26 thru_hole oval (at 8.89 11.43) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 27 thru_hole oval (at 8.89 8.89) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 28 thru_hole rect (at 8.89 6.35) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 29 thru_hole oval (at 8.89 3.81) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 30 thru_hole oval (at 8.89 1.27) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 31 thru_hole oval (at 8.89 -1.27) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 32 thru_hole oval (at 8.89 -3.81) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 33 thru_hole rect (at 8.89 -6.35) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 34 thru_hole oval (at 8.89 -8.89) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 35 thru_hole oval (at 8.89 -11.43) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 36 thru_hole oval (at 8.89 -13.97) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 37 thru_hole oval (at 8.89 -16.51) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 38 thru_hole rect (at 8.89 -19.05) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 39 thru_hole oval (at 8.89 -21.59) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 40 thru_hole oval (at 8.89 -24.13) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 1 smd rect (at -8.89 -24.13) (size 3.5 1.7) (drill (offset -0.9 0)) (layers F.Cu F.Mask)) + (pad 2 smd rect (at -8.89 -21.59) (size 3.5 1.7) (drill (offset -0.9 0)) (layers F.Cu F.Mask)) + (pad 3 smd rect (at -8.89 -19.05) (size 3.5 1.7) (drill (offset -0.9 0)) (layers F.Cu F.Mask)) + (pad 4 smd rect (at -8.89 -16.51) (size 3.5 1.7) (drill (offset -0.9 0)) (layers F.Cu F.Mask)) + (pad 5 smd rect (at -8.89 -13.97) (size 3.5 1.7) (drill (offset -0.9 0)) (layers F.Cu F.Mask)) + (pad 6 smd rect (at -8.89 -11.43) (size 3.5 1.7) (drill (offset -0.9 0)) (layers F.Cu F.Mask)) + (pad 7 smd rect (at -8.89 -8.89) (size 3.5 1.7) (drill (offset -0.9 0)) (layers F.Cu F.Mask)) + (pad 8 smd rect (at -8.89 -6.35) (size 3.5 1.7) (drill (offset -0.9 0)) (layers F.Cu F.Mask)) + (pad 9 smd rect (at -8.89 -3.81) (size 3.5 1.7) (drill (offset -0.9 0)) (layers F.Cu F.Mask)) + (pad 10 smd rect (at -8.89 -1.27) (size 3.5 1.7) (drill (offset -0.9 0)) (layers F.Cu F.Mask)) + (pad 11 smd rect (at -8.89 1.27) (size 3.5 1.7) (drill (offset -0.9 0)) (layers F.Cu F.Mask)) + (pad 12 smd rect (at -8.89 3.81) (size 3.5 1.7) (drill (offset -0.9 0)) (layers F.Cu F.Mask)) + (pad 13 smd rect (at -8.89 6.35) (size 3.5 1.7) (drill (offset -0.9 0)) (layers F.Cu F.Mask)) + (pad 14 smd rect (at -8.89 8.89) (size 3.5 1.7) (drill (offset -0.9 0)) (layers F.Cu F.Mask)) + (pad 15 smd rect (at -8.89 11.43) (size 3.5 1.7) (drill (offset -0.9 0)) (layers F.Cu F.Mask)) + (pad 16 smd rect (at -8.89 13.97) (size 3.5 1.7) (drill (offset -0.9 0)) (layers F.Cu F.Mask)) + (pad 17 smd rect (at -8.89 16.51) (size 3.5 1.7) (drill (offset -0.9 0)) (layers F.Cu F.Mask)) + (pad 18 smd rect (at -8.89 19.05) (size 3.5 1.7) (drill (offset -0.9 0)) (layers F.Cu F.Mask)) + (pad 19 smd rect (at -8.89 21.59) (size 3.5 1.7) (drill (offset -0.9 0)) (layers F.Cu F.Mask)) + (pad 20 smd rect (at -8.89 24.13) (size 3.5 1.7) (drill (offset -0.9 0)) (layers F.Cu F.Mask)) + (pad 40 smd rect (at 8.89 -24.13) (size 3.5 1.7) (drill (offset 0.9 0)) (layers F.Cu F.Mask)) + (pad 39 smd rect (at 8.89 -21.59) (size 3.5 1.7) (drill (offset 0.9 0)) (layers F.Cu F.Mask)) + (pad 38 smd rect (at 8.89 -19.05) (size 3.5 1.7) (drill (offset 0.9 0)) (layers F.Cu F.Mask)) + (pad 37 smd rect (at 8.89 -16.51) (size 3.5 1.7) (drill (offset 0.9 0)) (layers F.Cu F.Mask)) + (pad 36 smd rect (at 8.89 -13.97) (size 3.5 1.7) (drill (offset 0.9 0)) (layers F.Cu F.Mask)) + (pad 35 smd rect (at 8.89 -11.43) (size 3.5 1.7) (drill (offset 0.9 0)) (layers F.Cu F.Mask)) + (pad 34 smd rect (at 8.89 -8.89) (size 3.5 1.7) (drill (offset 0.9 0)) (layers F.Cu F.Mask)) + (pad 33 smd rect (at 8.89 -6.35) (size 3.5 1.7) (drill (offset 0.9 0)) (layers F.Cu F.Mask)) + (pad 32 smd rect (at 8.89 -3.81) (size 3.5 1.7) (drill (offset 0.9 0)) (layers F.Cu F.Mask)) + (pad 31 smd rect (at 8.89 -1.27) (size 3.5 1.7) (drill (offset 0.9 0)) (layers F.Cu F.Mask)) + (pad 30 smd rect (at 8.89 1.27) (size 3.5 1.7) (drill (offset 0.9 0)) (layers F.Cu F.Mask)) + (pad 29 smd rect (at 8.89 3.81) (size 3.5 1.7) (drill (offset 0.9 0)) (layers F.Cu F.Mask)) + (pad 28 smd rect (at 8.89 6.35) (size 3.5 1.7) (drill (offset 0.9 0)) (layers F.Cu F.Mask)) + (pad 27 smd rect (at 8.89 8.89) (size 3.5 1.7) (drill (offset 0.9 0)) (layers F.Cu F.Mask)) + (pad 26 smd rect (at 8.89 11.43) (size 3.5 1.7) (drill (offset 0.9 0)) (layers F.Cu F.Mask)) + (pad 25 smd rect (at 8.89 13.97) (size 3.5 1.7) (drill (offset 0.9 0)) (layers F.Cu F.Mask)) + (pad 24 smd rect (at 8.89 16.51) (size 3.5 1.7) (drill (offset 0.9 0)) (layers F.Cu F.Mask)) + (pad 23 smd rect (at 8.89 19.05) (size 3.5 1.7) (drill (offset 0.9 0)) (layers F.Cu F.Mask)) + (pad 22 smd rect (at 8.89 21.59) (size 3.5 1.7) (drill (offset 0.9 0)) (layers F.Cu F.Mask)) + (pad 21 smd rect (at 8.89 24.13) (size 3.5 1.7) (drill (offset 0.9 0)) (layers F.Cu F.Mask)) + (pad "" np_thru_hole oval (at -2.725 -24) (size 1.8 1.8) (drill 1.8) (layers *.Cu *.Mask)) + (pad "" np_thru_hole oval (at 2.725 -24) (size 1.8 1.8) (drill 1.8) (layers *.Cu *.Mask)) + (pad "" np_thru_hole oval (at -2.425 -20.97) (size 1.5 1.5) (drill 1.5) (layers *.Cu *.Mask)) + (pad "" np_thru_hole oval (at 2.425 -20.97) (size 1.5 1.5) (drill 1.5) (layers *.Cu *.Mask)) + (pad 41 smd rect (at -2.54 23.9 90) (size 3.5 1.7) (drill (offset -0.9 0)) (layers F.Cu F.Mask)) + (pad 41 thru_hole oval (at -2.54 23.9) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 42 smd rect (at 0 23.9 90) (size 3.5 1.7) (drill (offset -0.9 0)) (layers F.Cu F.Mask)) + (pad 42 thru_hole rect (at 0 23.9) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) + (pad 43 smd rect (at 2.54 23.9 90) (size 3.5 1.7) (drill (offset -0.9 0)) (layers F.Cu F.Mask)) + (pad 43 thru_hole oval (at 2.54 23.9) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)) +) diff --git a/reform2-trackball2-pcb/footprints/SK6805-EC15.kicad_mod b/reform2-trackball2-pcb/footprints/SK6805-EC15.kicad_mod @@ -0,0 +1,23 @@ +(footprint "SK6805-EC15" (version 20211014) (generator pcbnew) + (layer "F.Cu") + (tedit 0) + (attr smd) + (fp_text reference "REF**" (at 0 -2.15 unlocked) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp df602b2f-ad7a-4724-b9cf-e91315fa6a80) + ) + (fp_text value "SK6805-EC15" (at 0 4.7 unlocked) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ca188d81-6399-4668-aba3-8eeeabb48816) + ) + (fp_text user "${REFERENCE}" (at 0 6.2 unlocked) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 6ddb17fd-e056-477d-a601-0088869fb024) + ) + (fp_rect (start -0.9 -0.9) (end 0.9 0.9) (layer "F.SilkS") (width 0.12) (fill none) (tstamp 24632b7f-c7fe-4088-b07a-642151675641)) + (fp_circle (center -1.25 1.2) (end -1.15 1.3) (layer "F.SilkS") (width 0.12) (fill none) (tstamp 5bb7f999-6387-41ec-a945-421020b1eb61)) + (pad "1" smd rect (at -0.475 0.475) (size 0.55 0.55) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp fbd3e7b1-0e3f-4262-81d0-df9aa477161d)) + (pad "2" smd rect (at 0.475 0.475) (size 0.55 0.55) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp df36424e-feb6-49a1-bf45-e6e0af077c5c)) + (pad "3" smd rect (at 0.475 -0.475) (size 0.55 0.55) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 86c0de55-d1ee-44ce-8af2-a909ca1c1c1d)) + (pad "4" smd rect (at -0.475 -0.475) (size 0.55 0.55) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 3d9bf73b-68cf-4949-b730-8035ad23dc7d)) +) diff --git a/reform2-trackball2-pcb/footprints/mntreform-trackball-badge.kicad_mod b/reform2-trackball2-pcb/footprints/mntreform-trackball-badge.kicad_mod @@ -0,0 +1,639 @@ +(footprint "LOGO" (version 20210606) (generator bitmap2component) (layer "F.Cu") + (at 0 0) +(attr board_only exclude_from_pos_files exclude_from_bom) + (fp_text reference "G***" (at 0 0) (layer F.SilkS) + (effects (font (thickness 0.3))) + ) + (fp_text value "LOGO" (at 0.75 0) (layer F.SilkS) hide + (effects (font (thickness 0.3))) + ) + (fp_poly (pts (xy 14.449778 4.402667) (xy -14.421555 4.402667) (xy -14.421555 4.233334) (xy -14.252222 4.233334) (xy 14.280445 4.233334) (xy 14.280445 -1.693333) (xy -14.252222 -1.693333) (xy -14.252222 4.233334) + (xy -14.421555 4.233334) (xy -14.421555 -1.862666) (xy -14.252222 -1.862666) (xy 14.280445 -1.862666) (xy 14.280445 -4.233333) (xy -14.252222 -4.233333) (xy -14.252222 -1.862666) (xy -14.421555 -1.862666) + (xy -14.421555 -4.402666) (xy 14.449778 -4.402666) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -3.998053 2.770369) (xy -3.897609 2.836781) (xy -3.882257 2.853570) (xy -3.822365 2.955090) (xy -3.818390 3.050427) (xy -3.870344 3.138938) (xy -3.876767 3.145555) (xy -3.943533 3.212321) + (xy -3.862655 3.272117) (xy -3.796143 3.349390) (xy -3.777552 3.438626) (xy -3.802930 3.529540) (xy -3.868321 3.611851) (xy -3.969772 3.675275) (xy -4.025117 3.694632) (xy -4.108341 3.715716) + (xy -4.166118 3.720517) (xy -4.226993 3.709210) (xy -4.272300 3.696140) (xy -4.367592 3.650048) (xy -4.443134 3.581752) (xy -4.483991 3.506225) (xy -4.487333 3.480525) (xy -4.475514 3.431431) + (xy -4.429370 3.415370) (xy -4.411541 3.414889) (xy -4.335651 3.434087) (xy -4.271911 3.474861) (xy -4.192286 3.522065) (xy -4.106707 3.531609) (xy -4.034972 3.502819) (xy -4.016812 3.483578) + (xy -3.985794 3.411593) (xy -4.008384 3.355536) (xy -4.083662 3.316760) (xy -4.138672 3.304612) (xy -4.210576 3.287293) (xy -4.241600 3.258679) (xy -4.247444 3.216855) (xy -4.237434 3.166755) + (xy -4.196659 3.141575) (xy -4.151589 3.132667) (xy -4.076923 3.109249) (xy -4.026422 3.071680) (xy -4.024734 3.069167) (xy -4.009042 3.006525) (xy -4.035352 2.955149) (xy -4.089399 2.921777) + (xy -4.156921 2.913146) (xy -4.223657 2.935993) (xy -4.252489 2.961577) (xy -4.328964 3.010031) (xy -4.394828 3.019778) (xy -4.459036 3.015502) (xy -4.482208 2.995381) (xy -4.467423 2.948475) + (xy -4.432133 2.887260) (xy -4.350251 2.802585) (xy -4.240116 2.754236) (xy -4.117469 2.743176) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -3.196810 2.756267) (xy -3.084020 2.807150) (xy -3.045855 2.838389) (xy -2.979840 2.930373) (xy -2.962016 3.026002) (xy -2.992896 3.113301) (xy -3.032373 3.154654) (xy -3.101412 3.208961) + (xy -3.018262 3.270436) (xy -2.949929 3.350611) (xy -2.931981 3.446019) (xy -2.964435 3.546411) (xy -3.017607 3.614616) (xy -3.081940 3.667183) (xy -3.139801 3.695781) (xy -3.151662 3.697497) + (xy -3.224696 3.703585) (xy -3.259667 3.709336) (xy -3.325514 3.710674) (xy -3.408774 3.698380) (xy -3.414442 3.697052) (xy -3.505144 3.656473) (xy -3.582943 3.589781) (xy -3.631845 3.513046) + (xy -3.640667 3.469760) (xy -3.618704 3.428311) (xy -3.564974 3.412626) (xy -3.497714 3.422289) (xy -3.435161 3.456882) (xy -3.417707 3.474861) (xy -3.355473 3.518753) (xy -3.278187 3.531872) + (xy -3.203587 3.517159) (xy -3.149413 3.477560) (xy -3.132667 3.427560) (xy -3.157804 3.367039) (xy -3.220883 3.320667) (xy -3.303404 3.302001) (xy -3.304016 3.302000) (xy -3.363032 3.294534) + (xy -3.384423 3.260132) (xy -3.386667 3.219122) (xy -3.378892 3.160183) (xy -3.343848 3.134677) (xy -3.297791 3.127399) (xy -3.225311 3.106339) (xy -3.177990 3.069167) (xy -3.162369 3.006489) + (xy -3.188739 2.955102) (xy -3.242832 2.921745) (xy -3.310380 2.913157) (xy -3.377114 2.936074) (xy -3.405822 2.961577) (xy -3.482327 3.010039) (xy -3.548242 3.019778) (xy -3.640828 3.019778) + (xy -3.604573 2.930925) (xy -3.537829 2.835283) (xy -3.438058 2.772709) (xy -3.319604 2.745579) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -2.347165 2.750630) (xy -2.346881 2.750692) (xy -2.241527 2.794650) (xy -2.165021 2.866485) (xy -2.122224 2.953997) (xy -2.117996 3.044987) (xy -2.157198 3.127254) (xy -2.185879 3.154790) + (xy -2.255092 3.209233) (xy -2.185118 3.246682) (xy -2.118903 3.311590) (xy -2.085091 3.408077) (xy -2.085242 3.485445) (xy -2.122803 3.568973) (xy -2.200589 3.643511) (xy -2.302685 3.694219) + (xy -2.312126 3.696997) (xy -2.390457 3.716864) (xy -2.446839 3.721069) (xy -2.507529 3.708235) (xy -2.582333 3.682822) (xy -2.691115 3.626842) (xy -2.765162 3.553008) (xy -2.793938 3.472002) + (xy -2.794000 3.468207) (xy -2.772001 3.427744) (xy -2.718227 3.412718) (xy -2.651008 3.422582) (xy -2.588676 3.456788) (xy -2.571041 3.474861) (xy -2.505546 3.521810) (xy -2.427160 3.533544) + (xy -2.353141 3.513842) (xy -2.300749 3.466487) (xy -2.286000 3.412426) (xy -2.311537 3.355483) (xy -2.378771 3.315842) (xy -2.467589 3.302000) (xy -2.519641 3.292979) (xy -2.538390 3.254381) + (xy -2.540000 3.219122) (xy -2.532225 3.160183) (xy -2.497182 3.134677) (xy -2.451124 3.127399) (xy -2.368152 3.101815) (xy -2.322208 3.052102) (xy -2.321770 2.989367) (xy -2.331324 2.970389) + (xy -2.387945 2.923322) (xy -2.460739 2.912585) (xy -2.526024 2.939152) (xy -2.545459 2.961587) (xy -2.593205 3.003013) (xy -2.657833 3.021827) (xy -2.719916 3.017537) (xy -2.760029 2.989647) + (xy -2.765778 2.967182) (xy -2.739891 2.888827) (xy -2.671372 2.820907) (xy -2.573936 2.770509) (xy -2.461296 2.744721) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -0.654292 2.843389) (xy -0.661687 2.883737) (xy -0.680781 2.907596) (xy -0.724303 2.920157) (xy -0.804986 2.926609) (xy -0.864883 2.929233) (xy -1.066544 2.937465) (xy -1.077938 3.035066) + (xy -1.078796 3.105737) (xy -1.062990 3.133126) (xy -1.034594 3.111327) (xy -1.030111 3.104445) (xy -0.986079 3.080370) (xy -0.910484 3.076149) (xy -0.823817 3.089805) (xy -0.746566 3.119360) + (xy -0.724445 3.133951) (xy -0.650807 3.224512) (xy -0.616361 3.338224) (xy -0.620802 3.458384) (xy -0.663823 3.568288) (xy -0.733778 3.643560) (xy -0.847331 3.695013) (xy -0.981685 3.711356) + (xy -1.091836 3.695096) (xy -1.177704 3.650149) (xy -1.250193 3.579408) (xy -1.292993 3.500855) (xy -1.298222 3.467527) (xy -1.277206 3.425629) (xy -1.225087 3.412893) (xy -1.158260 3.427989) + (xy -1.093119 3.469584) (xy -1.077721 3.485445) (xy -1.000863 3.543265) (xy -0.923959 3.553054) (xy -0.860264 3.519157) (xy -0.823034 3.445919) (xy -0.818444 3.400436) (xy -0.840046 3.306500) + (xy -0.897561 3.248006) (xy -0.980055 3.233069) (xy -1.028139 3.244806) (xy -1.117003 3.267124) (xy -1.187171 3.273778) (xy -1.241506 3.268677) (xy -1.264714 3.242303) (xy -1.269753 3.178047) + (xy -1.269755 3.167945) (xy -1.266040 3.074862) (xy -1.256935 2.961988) (xy -1.251712 2.913945) (xy -1.233916 2.765778) (xy -0.645362 2.765778) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 0.169333 2.932638) (xy -0.239889 2.949222) (xy -0.248884 3.042976) (xy -0.251689 3.104511) (xy -0.237705 3.121438) (xy -0.201350 3.106476) (xy -0.093016 3.077027) (xy 0.016105 3.094430) + (xy 0.113566 3.150664) (xy 0.186920 3.237710) (xy 0.223719 3.347547) (xy 0.225778 3.381679) (xy 0.199433 3.505406) (xy 0.127062 3.609611) (xy 0.018665 3.681656) (xy -0.022981 3.696128) + (xy -0.093059 3.715078) (xy -0.137044 3.720355) (xy -0.183634 3.711835) (xy -0.241444 3.695184) (xy -0.335882 3.647856) (xy -0.413147 3.574148) (xy -0.454887 3.491986) (xy -0.456166 3.485445) + (xy -0.444431 3.437358) (xy -0.398701 3.416728) (xy -0.334380 3.423700) (xy -0.266872 3.458420) (xy -0.239325 3.483386) (xy -0.183934 3.532471) (xy -0.138226 3.555712) (xy -0.134627 3.556000) + (xy -0.063829 3.532795) (xy -0.002625 3.476868) (xy 0.027663 3.408754) (xy 0.028222 3.399178) (xy 0.007581 3.321148) (xy -0.043697 3.259570) (xy -0.109644 3.229294) (xy -0.150776 3.232714) + (xy -0.219209 3.247102) (xy -0.308865 3.255878) (xy -0.324555 3.256490) (xy -0.437444 3.259667) (xy -0.427255 3.033889) (xy -0.420995 2.925575) (xy -0.413479 2.839730) (xy -0.406101 2.791422) + (xy -0.404423 2.786945) (xy -0.372601 2.777994) (xy -0.297054 2.770918) (xy -0.190493 2.766632) (xy -0.111223 2.765778) (xy 0.169333 2.765778) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 0.805626 2.749635) (xy 0.885975 2.780345) (xy 0.951467 2.826207) (xy 1.014849 2.892942) (xy 1.060080 2.961398) (xy 1.072445 3.002966) (xy 1.048841 3.019329) (xy 0.992174 3.022503) + (xy 0.923660 3.014783) (xy 0.864514 2.998463) (xy 0.836461 2.977445) (xy 0.797670 2.944612) (xy 0.731521 2.936598) (xy 0.660989 2.952671) (xy 0.614942 2.984500) (xy 0.576536 3.048793) + (xy 0.552008 3.117789) (xy 0.540617 3.173769) (xy 0.550217 3.182466) (xy 0.585347 3.153402) (xy 0.683045 3.098005) (xy 0.795099 3.083744) (xy 0.904566 3.108093) (xy 0.994497 3.168524) + (xy 1.031442 3.219907) (xy 1.069360 3.347869) (xy 1.056822 3.472662) (xy 0.999105 3.582190) (xy 0.901486 3.664356) (xy 0.823686 3.696128) (xy 0.753607 3.715078) (xy 0.709623 3.720355) + (xy 0.663033 3.711835) (xy 0.605223 3.695184) (xy 0.493211 3.635017) (xy 0.409686 3.533406) (xy 0.358687 3.399783) (xy 0.355961 3.370282) (xy 0.565933 3.370282) (xy 0.585441 3.452935) + (xy 0.620889 3.499556) (xy 0.686206 3.547513) (xy 0.749573 3.546968) (xy 0.812165 3.512067) (xy 0.865264 3.448107) (xy 0.875387 3.373787) (xy 0.850054 3.303451) (xy 0.796787 3.251439) + (xy 0.723107 3.232096) (xy 0.670881 3.242644) (xy 0.595328 3.295481) (xy 0.565933 3.370282) (xy 0.355961 3.370282) (xy 0.344251 3.243579) (xy 0.359166 3.120498) (xy 0.405914 2.963862) + (xy 0.472677 2.854360) (xy 0.564134 2.784803) (xy 0.583418 2.776039) (xy 0.700573 2.741826) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -9.872838 2.768632) (xy -9.838532 2.786364) (xy -9.816871 2.832732) (xy -9.796982 2.913945) (xy -9.769052 3.028064) (xy -9.733870 3.158760) (xy -9.712846 3.231445) (xy -9.662043 3.400778) + (xy -9.596649 3.113865) (xy -9.567529 2.991212) (xy -9.540854 2.887957) (xy -9.520166 2.817339) (xy -9.511143 2.794412) (xy -9.472061 2.774933) (xy -9.402887 2.770117) (xy -9.392473 2.770880) + (xy -9.293913 2.779889) (xy -9.423568 3.238467) (xy -9.553222 3.697044) (xy -9.654981 3.697078) (xy -9.756740 3.697111) (xy -9.838242 3.414889) (xy -9.873370 3.298383) (xy -9.904264 3.205278) + (xy -9.926996 3.146883) (xy -9.936268 3.132667) (xy -9.950185 3.158047) (xy -9.974791 3.226621) (xy -10.006252 3.327039) (xy -10.031611 3.414889) (xy -10.110431 3.697111) (xy -10.212827 3.696982) + (xy -10.315222 3.696853) (xy -10.438979 3.266593) (xy -10.482113 3.117301) (xy -10.520436 2.985907) (xy -10.550962 2.882559) (xy -10.570703 2.817403) (xy -10.576054 2.801056) (xy -10.565040 2.776734) + (xy -10.504898 2.766394) (xy -10.474552 2.765778) (xy -10.427411 2.765957) (xy -10.392814 2.771910) (xy -10.366533 2.791755) (xy -10.344342 2.833614) (xy -10.322016 2.905608) (xy -10.295327 3.015856) + (xy -10.260049 3.172480) (xy -10.257898 3.182056) (xy -10.234815 3.280356) (xy -10.215900 3.352835) (xy -10.204734 3.385932) (xy -10.203887 3.386667) (xy -10.194064 3.361127) (xy -10.173438 3.291752) + (xy -10.145117 3.189413) (xy -10.115127 3.076222) (xy -10.034399 2.765778) (xy -9.932357 2.765778) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -8.551333 2.935111) (xy -8.974667 2.935111) (xy -8.974667 3.132667) (xy -8.579555 3.132667) (xy -8.579555 3.302000) (xy -8.974667 3.302000) (xy -8.974667 3.527778) (xy -8.551333 3.527778) + (xy -8.551333 3.697111) (xy -9.200444 3.697111) (xy -9.200444 2.765778) (xy -8.551333 2.765778) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -7.761111 2.935111) (xy -8.184444 2.935111) (xy -8.184444 3.132667) (xy -7.789333 3.132667) (xy -7.789333 3.302000) (xy -8.184444 3.302000) (xy -8.184444 3.527778) (xy -7.761111 3.527778) + (xy -7.761111 3.697111) (xy -8.410222 3.697111) (xy -8.410222 2.765778) (xy -7.761111 2.765778) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -6.970889 2.935111) (xy -7.394222 2.935111) (xy -7.394222 3.132667) (xy -6.999111 3.132667) (xy -6.999111 3.302000) (xy -7.394222 3.302000) (xy -7.394222 3.527778) (xy -6.970889 3.527778) + (xy -6.970889 3.697111) (xy -7.620000 3.697111) (xy -7.620000 2.765778) (xy -6.970889 2.765778) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -6.237525 2.770189) (xy -5.955718 2.779889) (xy -5.850023 2.885640) (xy -5.794567 2.944891) (xy -5.761912 2.996880) (xy -5.744910 3.060783) (xy -5.736412 3.155778) (xy -5.734312 3.196085) + (xy -5.731385 3.310058) (xy -5.738595 3.387408) (xy -5.759559 3.447581) (xy -5.790766 3.499556) (xy -5.869512 3.591067) (xy -5.967137 3.651496) (xy -6.094838 3.685302) (xy -6.263813 3.696947) + (xy -6.286500 3.697067) (xy -6.519333 3.697111) (xy -6.519333 3.527778) (xy -6.321778 3.527778) (xy -6.224328 3.527778) (xy -6.134168 3.518263) (xy -6.056902 3.495895) (xy -5.982115 3.432134) + (xy -5.939516 3.328162) (xy -5.932148 3.192103) (xy -5.934363 3.168591) (xy -5.964746 3.049497) (xy -6.027458 2.974837) (xy -6.128195 2.939564) (xy -6.197904 2.935111) (xy -6.321778 2.935111) + (xy -6.321778 3.527778) (xy -6.519333 3.527778) (xy -6.519333 2.760489) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -4.938889 2.935111) (xy -5.362222 2.935111) (xy -5.362222 3.132667) (xy -4.967111 3.132667) (xy -4.967111 3.302000) (xy -5.362222 3.302000) (xy -5.362222 3.527778) (xy -4.938889 3.527778) + (xy -4.938889 3.697111) (xy -5.588000 3.697111) (xy -5.588000 2.765778) (xy -4.938889 2.765778) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -1.524000 3.697111) (xy -1.721555 3.697111) (xy -1.721555 3.330222) (xy -1.722457 3.193738) (xy -1.724925 3.080276) (xy -1.728601 3.000315) (xy -1.733130 2.964333) (xy -1.734053 2.963334) + (xy -1.763909 2.976350) (xy -1.823448 3.008903) (xy -1.846942 3.022566) (xy -1.947333 3.081798) (xy -1.947333 2.995361) (xy -1.921461 2.914491) (xy -1.853219 2.842545) (xy -1.756666 2.789706) + (xy -1.645863 2.766158) (xy -1.630337 2.765778) (xy -1.524000 2.765778) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 1.834445 3.060148) (xy 1.835043 3.191732) (xy 1.838290 3.277268) (xy 1.846360 3.327150) (xy 1.861429 3.351775) (xy 1.885673 3.361535) (xy 1.897945 3.363537) (xy 1.950730 3.391465) + (xy 1.974400 3.442391) (xy 1.967008 3.495450) (xy 1.926607 3.529774) (xy 1.913720 3.532653) (xy 1.860208 3.558924) (xy 1.839625 3.619500) (xy 1.825909 3.672571) (xy 1.789250 3.693824) + (xy 1.733792 3.697111) (xy 1.668253 3.692154) (xy 1.641703 3.667238) (xy 1.636889 3.612445) (xy 1.636889 3.527778) (xy 1.411111 3.527778) (xy 1.299063 3.526900) (xy 1.231960 3.522033) + (xy 1.198308 3.509831) (xy 1.186612 3.486946) (xy 1.185333 3.462033) (xy 1.199964 3.414265) (xy 1.226656 3.358445) (xy 1.409377 3.358445) (xy 1.636889 3.358445) (xy 1.636889 3.184408) + (xy 1.635333 3.095994) (xy 1.631273 3.037567) (xy 1.626192 3.022130) (xy 1.606732 3.050214) (xy 1.565970 3.112572) (xy 1.512711 3.195734) (xy 1.512436 3.196167) (xy 1.409377 3.358445) + (xy 1.226656 3.358445) (xy 1.239959 3.330624) (xy 1.299478 3.222268) (xy 1.372677 3.100355) (xy 1.384826 3.081033) (xy 1.584319 2.765778) (xy 1.834445 2.765778) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -11.401778 3.668889) (xy -13.659555 3.668889) (xy -13.659555 3.132667) (xy -11.401778 3.132667) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -11.166301 -0.106129) (xy -11.217352 -0.039467) (xy -11.294156 0.051383) (xy -11.389939 0.158259) (xy -11.444111 0.216518) (xy -11.557247 0.337396) (xy -11.637184 0.426062) (xy -11.689679 0.491147) + (xy -11.720491 0.541285) (xy -11.735377 0.585108) (xy -11.740096 0.631249) (xy -11.740444 0.660772) (xy -11.742882 0.739867) (xy -11.756517 0.777697) (xy -11.790836 0.789416) (xy -11.821475 0.790222) + (xy -11.850049 0.790284) (xy -11.872181 0.794988) (xy -11.889433 0.811112) (xy -11.903366 0.845433) (xy -11.915542 0.904728) (xy -11.927523 0.995776) (xy -11.940869 1.125353) (xy -11.957142 1.300237) + (xy -11.969059 1.430807) (xy -12.003110 1.803280) (xy -11.660110 2.160250) (xy -11.548765 2.277518) (xy -11.452511 2.381542) (xy -11.377677 2.465263) (xy -11.330591 2.521627) (xy -11.317111 2.542722) + (xy -11.325164 2.564930) (xy -11.352299 2.558364) (xy -11.402980 2.519594) (xy -11.481669 2.445188) (xy -11.592831 2.331716) (xy -11.596124 2.328287) (xy -11.826472 2.088351) (xy -11.855124 2.163709) + (xy -11.893610 2.233503) (xy -11.932054 2.275747) (xy -12.017103 2.309089) (xy -12.116467 2.305477) (xy -12.203338 2.266621) (xy -12.213720 2.257778) (xy -12.250550 2.230738) (xy -12.299215 2.213849) + (xy -12.372793 2.204871) (xy -12.484363 2.201567) (xy -12.543598 2.201334) (xy -12.668534 2.202179) (xy -12.747483 2.206175) (xy -12.790892 2.215512) (xy -12.809211 2.232380) (xy -12.812889 2.257778) + (xy -12.830471 2.302918) (xy -12.879548 2.314222) (xy -12.918921 2.307476) (xy -12.944851 2.278558) (xy -12.965380 2.214449) (xy -12.978326 2.154293) (xy -12.983107 2.126464) (xy -12.163579 2.126464) + (xy -12.145714 2.181628) (xy -12.143667 2.183814) (xy -12.103025 2.196176) (xy -12.051945 2.195574) (xy -11.999343 2.178238) (xy -11.981255 2.131267) (xy -11.980333 2.105632) (xy -11.997374 2.029748) + (xy -12.040000 1.987065) (xy -12.095463 1.987120) (xy -12.119079 2.001815) (xy -12.153359 2.056601) (xy -12.163579 2.126464) (xy -12.983107 2.126464) (xy -12.996481 2.048620) (xy -13.008126 1.951517) + (xy -13.010444 1.907741) (xy -13.012368 1.880414) (xy -12.925778 1.880414) (xy -12.924653 1.981221) (xy -12.914912 2.048299) (xy -12.887009 2.088515) (xy -12.831395 2.108737) (xy -12.738524 2.115832) + (xy -12.598847 2.116667) (xy -12.597317 2.116667) (xy -12.463443 2.115542) (xy -12.375690 2.111070) (xy -12.323762 2.101609) (xy -12.297360 2.085515) (xy -12.287856 2.067278) (xy -12.249181 1.974823) + (xy -12.197002 1.920704) (xy -12.169066 1.905596) (xy -12.116614 1.871927) (xy -12.096711 1.847471) (xy -12.110267 1.816098) (xy -12.155816 1.753121) (xy -12.226244 1.667640) (xy -12.314436 1.568754) + (xy -12.315708 1.567376) (xy -12.546409 1.317781) (xy -12.736094 1.525613) (xy -12.822834 1.622115) (xy -12.878073 1.690695) (xy -12.908906 1.744619) (xy -12.922424 1.797155) (xy -12.925720 1.861568) + (xy -12.925778 1.880414) (xy -13.012368 1.880414) (xy -13.012431 1.879517) (xy -13.021895 1.866725) (xy -13.044088 1.873391) (xy -13.084264 1.903542) (xy -13.147677 1.961204) (xy -13.239579 2.050406) + (xy -13.365224 2.175172) (xy -13.384767 2.194670) (xy -13.524715 2.333580) (xy -13.630014 2.435914) (xy -13.705341 2.505593) (xy -13.755369 2.546532) (xy -13.784773 2.562652) (xy -13.798228 2.557869) + (xy -13.800667 2.543253) (xy -13.781940 2.516138) (xy -13.729744 2.455242) (xy -13.650056 2.367154) (xy -13.548853 2.258465) (xy -13.432111 2.135768) (xy -13.415699 2.118711) (xy -13.030732 1.719139) + (xy -13.068255 1.234747) (xy -13.095011 0.889353) (xy -13.005405 0.889353) (xy -13.002935 0.965639) (xy -12.995974 1.075309) (xy -12.985104 1.206975) (xy -12.984890 1.209321) (xy -12.972799 1.345897) + (xy -12.962927 1.465162) (xy -12.956315 1.554000) (xy -12.954000 1.598597) (xy -12.939912 1.610402) (xy -12.895963 1.583250) (xy -12.819630 1.515344) (xy -12.771947 1.468935) (xy -12.589895 1.288501) + (xy -12.595052 1.282780) (xy -12.474222 1.282780) (xy -12.456322 1.311765) (xy -12.409408 1.367533) (xy -12.343657 1.439566) (xy -12.269250 1.517342) (xy -12.196363 1.590344) (xy -12.135176 1.648051) + (xy -12.095868 1.679943) (xy -12.087282 1.682690) (xy -12.081612 1.652953) (xy -12.071898 1.576843) (xy -12.059266 1.464195) (xy -12.044845 1.324843) (xy -12.036426 1.238991) (xy -12.022032 1.088597) + (xy -12.009769 0.958979) (xy -12.000566 0.860098) (xy -11.995355 0.801914) (xy -11.994528 0.790845) (xy -12.013101 0.804160) (xy -12.063782 0.850338) (xy -12.138910 0.922185) (xy -12.230823 1.012510) + (xy -12.234333 1.016000) (xy -12.327541 1.110947) (xy -12.403851 1.192914) (xy -12.455374 1.253127) (xy -12.474222 1.282780) (xy -12.595052 1.282780) (xy -12.789861 1.066683) (xy -12.872772 0.977118) + (xy -12.941470 0.907427) (xy -12.987682 0.865720) (xy -13.002804 0.857841) (xy -13.005405 0.889353) (xy -13.095011 0.889353) (xy -13.105779 0.750355) (xy -13.138549 0.712824) (xy -13.038667 0.712824) + (xy -12.793670 0.963190) (xy -12.698912 1.058686) (xy -12.618316 1.137394) (xy -12.560004 1.191561) (xy -12.532096 1.213433) (xy -12.531435 1.213556) (xy -12.504043 1.194970) (xy -12.450441 1.146723) + (xy -12.395432 1.092543) (xy -12.332300 1.025121) (xy -12.289228 0.973200) (xy -12.276667 0.951432) (xy -12.302502 0.941526) (xy -12.370493 0.934347) (xy -12.466362 0.931349) (xy -12.474222 0.931334) + (xy -12.577434 0.930296) (xy -12.636504 0.924255) (xy -12.663733 0.908818) (xy -12.671418 0.879592) (xy -12.671778 0.860778) (xy -12.669575 0.826612) (xy -12.655517 0.805760) (xy -12.618429 0.794935) + (xy -12.547136 0.790852) (xy -12.431889 0.790222) (xy -12.315064 0.793344) (xy -12.232334 0.801928) (xy -12.193633 0.814807) (xy -12.192000 0.818445) (xy -12.177773 0.846299) (xy -12.139904 0.830644) + (xy -12.085609 0.775229) (xy -12.069823 0.754945) (xy -12.034599 0.689978) (xy -11.901163 0.689978) (xy -11.893781 0.704015) (xy -11.857707 0.705556) (xy -11.807710 0.687830) (xy -11.796889 0.649111) + (xy -11.800986 0.603168) (xy -11.806626 0.592667) (xy -11.830457 0.611069) (xy -11.867444 0.649111) (xy -11.901163 0.689978) (xy -12.034599 0.689978) (xy -12.022728 0.668084) (xy -11.988190 0.562173) + (xy -11.981991 0.529167) (xy -11.962764 0.395111) (xy -13.038667 0.395111) (xy -13.038667 0.712824) (xy -13.138549 0.712824) (xy -13.205423 0.636233) (xy -13.262606 0.572818) (xy -13.348239 0.480421) + (xy -13.451506 0.370605) (xy -13.561592 0.254934) (xy -13.581089 0.234600) (xy -13.680814 0.127224) (xy -13.764453 0.030499) (xy -13.824834 -0.046766) (xy -13.854786 -0.095760) (xy -13.856772 -0.104067) + (xy -13.838440 -0.104199) (xy -13.785004 -0.063457) (xy -13.698383 0.016428) (xy -13.580495 0.133724) (xy -13.491295 0.225778) (xy -13.363799 0.358491) (xy -13.270050 0.454439) (xy -13.204834 0.517698) + (xy -13.162937 0.552346) (xy -13.139147 0.562459) (xy -13.128249 0.552115) (xy -13.125030 0.525389) (xy -13.124745 0.512414) (xy -13.133352 0.440879) (xy -13.167313 0.407340) (xy -13.179778 0.403289) + (xy -13.221917 0.376600) (xy -13.236043 0.339337) (xy -13.217283 0.312929) (xy -13.200944 0.310200) (xy -13.161138 0.291900) (xy -13.148291 0.282222) (xy -13.024555 0.282222) (xy -13.022318 0.308229) + (xy -13.012110 0.310445) (xy -12.983371 0.289958) (xy -12.982222 0.282222) (xy -12.991851 0.254734) (xy -12.994668 0.254000) (xy -12.841111 0.254000) (xy -12.838416 0.275359) (xy -12.824841 0.290520) + (xy -12.792150 0.300544) (xy -12.732109 0.306487) (xy -12.636483 0.309410) (xy -12.497036 0.310370) (xy -12.402001 0.310445) (xy -12.225496 0.308769) (xy -12.093840 0.303897) (xy -12.010632 0.296063) + (xy -11.979473 0.285501) (xy -11.979853 0.283000) (xy -12.015492 0.258497) (xy -12.084507 0.229963) (xy -12.113579 0.220572) (xy -12.191102 0.201382) (xy -12.230137 0.204410) (xy -12.241746 0.219794) + (xy -12.277718 0.240725) (xy -12.366923 0.252017) (xy -12.448352 0.254000) (xy -12.553588 0.251762) (xy -12.613636 0.243320) (xy -12.639636 0.226088) (xy -12.643555 0.208647) (xy -12.660387 0.176772) + (xy -12.688197 0.180425) (xy -12.755693 0.195465) (xy -12.786975 0.197556) (xy -12.832479 0.218615) (xy -12.841111 0.254000) (xy -12.994668 0.254000) (xy -13.018763 0.273776) (xy -13.024555 0.282222) + (xy -13.148291 0.282222) (xy -13.099766 0.245667) (xy -13.065180 0.214689) (xy -13.019834 0.174607) (xy -12.992606 0.156808) (xy -12.524813 0.156808) (xy -12.490891 0.161484) (xy -12.460111 0.162216) + (xy -12.402027 0.159945) (xy -12.387036 0.153549) (xy -12.396282 0.149943) (xy -12.464056 0.144686) (xy -12.509171 0.149373) (xy -12.524813 0.156808) (xy -12.992606 0.156808) (xy -12.974235 0.144799) + (xy -12.918168 0.122622) (xy -12.841416 0.105434) (xy -12.733760 0.090591) (xy -12.584985 0.075452) (xy -12.495083 0.067223) (xy -12.384486 0.061881) (xy -12.303224 0.067057) (xy -12.269305 0.078206) + (xy -12.218987 0.103065) (xy -12.143396 0.125794) (xy -12.135969 0.127442) (xy -12.048945 0.154057) (xy -11.975953 0.188916) (xy -11.974933 0.189578) (xy -11.918076 0.214071) (xy -11.882544 0.212278) + (xy -11.833483 0.204914) (xy -11.796636 0.211747) (xy -11.749426 0.249785) (xy -11.740109 0.308294) (xy -11.767168 0.367338) (xy -11.811000 0.400246) (xy -11.857356 0.434022) (xy -11.882328 0.474154) + (xy -11.877827 0.503140) (xy -11.860796 0.508000) (xy -11.834991 0.489014) (xy -11.776374 0.436372) (xy -11.691827 0.356550) (xy -11.588231 0.256025) (xy -11.493907 0.162701) (xy -11.382038 0.053373) + (xy -11.285226 -0.036898) (xy -11.209771 -0.102598) (xy -11.161969 -0.138209) (xy -11.147778 -0.140441) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -5.156981 1.498828) (xy -5.056888 1.551976) (xy -4.985978 1.635407) (xy -4.975508 1.658056) (xy -4.938727 1.749778) (xy -5.037586 1.749778) (xy -5.138182 1.729445) (xy -5.192889 1.693334) + (xy -5.268142 1.643680) (xy -5.349212 1.647116) (xy -5.392300 1.666104) (xy -5.440224 1.714413) (xy -5.439139 1.770377) (xy -5.391313 1.818059) (xy -5.369278 1.827968) (xy -5.295218 1.854914) + (xy -5.198742 1.889601) (xy -5.157564 1.904300) (xy -5.069894 1.944366) (xy -5.000845 1.991397) (xy -4.981175 2.012410) (xy -4.943116 2.111525) (xy -4.948480 2.220904) (xy -4.992581 2.321289) + (xy -5.070732 2.393425) (xy -5.074392 2.395393) (xy -5.169045 2.425506) (xy -5.289412 2.438572) (xy -5.406754 2.432390) (xy -5.446889 2.423901) (xy -5.547608 2.376024) (xy -5.626347 2.302113) + (xy -5.668921 2.217137) (xy -5.672667 2.185649) (xy -5.652262 2.132961) (xy -5.602123 2.112364) (xy -5.538866 2.123734) (xy -5.479102 2.166945) (xy -5.463595 2.187222) (xy -5.408781 2.235352) + (xy -5.335242 2.257475) (xy -5.257519 2.256107) (xy -5.190154 2.233761) (xy -5.147687 2.192953) (xy -5.144661 2.136197) (xy -5.146061 2.132315) (xy -5.180032 2.102745) (xy -5.251830 2.068504) + (xy -5.325607 2.043270) (xy -5.425452 2.010581) (xy -5.510983 1.976234) (xy -5.550610 1.955564) (xy -5.618551 1.879755) (xy -5.648771 1.775457) (xy -5.639874 1.675440) (xy -5.589002 1.582272) + (xy -5.502267 1.517687) (xy -5.392680 1.482024) (xy -5.273248 1.475625) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -1.639433 1.491711) (xy -1.594267 1.508191) (xy -1.519323 1.557386) (xy -1.448941 1.631938) (xy -1.398561 1.712644) (xy -1.382994 1.770945) (xy -1.404674 1.801950) (xy -1.457983 1.808801) + (xy -1.524932 1.794246) (xy -1.587532 1.761037) (xy -1.613709 1.735667) (xy -1.691606 1.677400) (xy -1.787501 1.661203) (xy -1.882010 1.686854) (xy -1.945285 1.739473) (xy -1.991599 1.835412) + (xy -2.007962 1.952778) (xy -1.994886 2.070294) (xy -1.952880 2.166681) (xy -1.934505 2.188505) (xy -1.841482 2.248599) (xy -1.743096 2.254583) (xy -1.648489 2.206894) (xy -1.613947 2.173111) + (xy -1.539009 2.109755) (xy -1.470662 2.087116) (xy -1.419347 2.100770) (xy -1.395508 2.146294) (xy -1.409585 2.219263) (xy -1.426589 2.252491) (xy -1.516921 2.353279) (xy -1.639451 2.416903) + (xy -1.780457 2.439020) (xy -1.926214 2.415284) (xy -1.933222 2.412871) (xy -2.065011 2.339535) (xy -2.157675 2.225919) (xy -2.202814 2.111168) (xy -2.223774 1.946280) (xy -2.199687 1.795726) + (xy -2.137292 1.666186) (xy -2.043330 1.564336) (xy -1.924540 1.496855) (xy -1.787661 1.470421) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 0.569575 1.484910) (xy 0.602564 1.494387) (xy 0.691186 1.540646) (xy 0.771431 1.610853) (xy 0.828178 1.689051) (xy 0.846667 1.751943) (xy 0.825296 1.794774) (xy 0.772462 1.809727) + (xy 0.705088 1.797996) (xy 0.640092 1.760773) (xy 0.615847 1.735667) (xy 0.539593 1.680107) (xy 0.442873 1.665172) (xy 0.345788 1.692245) (xy 0.311793 1.714789) (xy 0.264857 1.782384) + (xy 0.235001 1.883289) (xy 0.226749 1.995781) (xy 0.237119 2.073347) (xy 0.284663 2.171350) (xy 0.360835 2.234694) (xy 0.451827 2.259542) (xy 0.543829 2.242059) (xy 0.621657 2.180167) + (xy 0.682965 2.102556) (xy 0.581372 2.093797) (xy 0.513493 2.082839) (xy 0.485237 2.055933) (xy 0.479778 2.002074) (xy 0.479778 1.919111) (xy 0.874889 1.919111) (xy 0.874845 2.024945) + (xy 0.849509 2.170677) (xy 0.779697 2.291626) (xy 0.674506 2.380776) (xy 0.543037 2.431111) (xy 0.394388 2.435613) (xy 0.352187 2.427992) (xy 0.211168 2.370419) (xy 0.107447 2.271551) + (xy 0.042814 2.133974) (xy 0.019061 1.960272) (xy 0.018975 1.947334) (xy 0.028504 1.832774) (xy 0.053049 1.731923) (xy 0.065572 1.702614) (xy 0.154917 1.587937) (xy 0.277667 1.509950) + (xy 0.420370 1.473870) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 10.491920 2.253235) (xy 10.517170 2.292701) (xy 10.521525 2.319380) (xy 10.510405 2.394272) (xy 10.465032 2.432612) (xy 10.398925 2.427905) (xy 10.353121 2.400248) (xy 10.309221 2.354140) + (xy 10.308583 2.313318) (xy 10.318298 2.294824) (xy 10.372150 2.254279) (xy 10.431187 2.243667) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 11.153568 1.478527) (xy 11.307501 1.516467) (xy 11.420073 1.592631) (xy 11.473776 1.667901) (xy 11.510320 1.756654) (xy 11.506888 1.809706) (xy 11.462103 1.832542) (xy 11.431922 1.834445) + (xy 11.354432 1.815091) (xy 11.289089 1.750059) (xy 11.288889 1.749778) (xy 11.210814 1.682258) (xy 11.115859 1.659633) (xy 11.021117 1.682426) (xy 10.952271 1.739473) (xy 10.905957 1.835412) + (xy 10.889594 1.952778) (xy 10.902670 2.070294) (xy 10.944675 2.166681) (xy 10.963051 2.188505) (xy 11.050220 2.242219) (xy 11.147587 2.252370) (xy 11.238458 2.221984) (xy 11.306137 2.154087) + (xy 11.320639 2.123690) (xy 11.352850 2.106200) (xy 11.411658 2.107929) (xy 11.472552 2.124135) (xy 11.511023 2.150078) (xy 11.514667 2.161221) (xy 11.495266 2.208701) (xy 11.447214 2.274533) + (xy 11.385742 2.341566) (xy 11.326076 2.392646) (xy 11.294206 2.409543) (xy 11.151809 2.437774) (xy 11.032591 2.432233) (xy 10.964333 2.413244) (xy 10.832345 2.339422) (xy 10.739668 2.225519) + (xy 10.694742 2.111168) (xy 10.673814 1.947210) (xy 10.697330 1.795723) (xy 10.759427 1.664790) (xy 10.854243 1.562493) (xy 10.975917 1.496915) (xy 11.118586 1.476139) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 12.180429 1.482056) (xy 12.316706 1.539883) (xy 12.438123 1.650253) (xy 12.458367 1.676082) (xy 12.500208 1.769672) (xy 12.519894 1.894664) (xy 12.517693 2.030661) (xy 12.493871 2.157266) + (xy 12.450831 2.251148) (xy 12.346470 2.353884) (xy 12.214280 2.420018) (xy 12.070792 2.443680) (xy 11.955478 2.427021) (xy 11.825305 2.358976) (xy 11.729575 2.249414) (xy 11.671983 2.104153) + (xy 11.655778 1.955350) (xy 11.656947 1.944095) (xy 11.853333 1.944095) (xy 11.871927 2.078306) (xy 11.923008 2.179311) (xy 11.999527 2.241287) (xy 12.094434 2.258414) (xy 12.196245 2.227284) + (xy 12.264623 2.161433) (xy 12.306488 2.062192) (xy 12.320146 1.946866) (xy 12.303904 1.832759) (xy 12.256068 1.737175) (xy 12.245413 1.724804) (xy 12.167059 1.677844) (xy 12.064952 1.665247) + (xy 11.981598 1.682642) (xy 11.928125 1.728098) (xy 11.882936 1.808792) (xy 11.856414 1.903557) (xy 11.853333 1.944095) (xy 11.656947 1.944095) (xy 11.672975 1.789821) (xy 11.727193 1.662657) + (xy 11.822374 1.566486) (xy 11.883644 1.529233) (xy 12.034379 1.478073) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -10.323607 1.509889) (xy -10.206526 1.798841) (xy -10.158166 1.914987) (xy -10.116594 2.008902) (xy -10.086673 2.069968) (xy -10.074009 2.088118) (xy -10.057837 2.063781) (xy -10.025574 1.997440) + (xy -9.982051 1.899518) (xy -9.936876 1.792111) (xy -9.815178 1.495778) (xy -9.567333 1.495778) (xy -9.567333 2.427111) (xy -9.762914 2.427111) (xy -9.770957 2.143028) (xy -9.779000 1.858944) + (xy -9.891889 2.143824) (xy -9.942761 2.269579) (xy -9.979854 2.351332) (xy -10.009061 2.397984) (xy -10.036278 2.418437) (xy -10.067398 2.421592) (xy -10.075333 2.420852) (xy -10.108952 2.411125) + (xy -10.139402 2.384154) (xy -10.172347 2.330873) (xy -10.213449 2.242216) (xy -10.265330 2.116667) (xy -10.384772 1.820334) (xy -10.385275 2.123722) (xy -10.385778 2.427111) (xy -10.583333 2.427111) + (xy -10.583333 1.492685) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -9.265082 1.499167) (xy -9.228630 1.514474) (xy -9.188920 1.549406) (xy -9.138245 1.611670) (xy -9.068898 1.708974) (xy -9.016708 1.785056) (xy -8.819444 2.074334) (xy -8.811402 1.785056) + (xy -8.803359 1.495778) (xy -8.607778 1.495778) (xy -8.607778 2.427111) (xy -8.701207 2.427111) (xy -8.742706 2.423866) (xy -8.779306 2.408970) (xy -8.818812 2.374683) (xy -8.869026 2.313265) + (xy -8.937752 2.216976) (xy -8.990485 2.140175) (xy -9.186333 1.853238) (xy -9.194376 2.140175) (xy -9.202419 2.427111) (xy -9.398000 2.427111) (xy -9.398000 1.495778) (xy -9.305985 1.495778) + )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -7.709848 1.573389) (xy -7.720631 1.620871) (xy -7.749262 1.645395) (xy -7.811621 1.656537) (xy -7.852833 1.659602) (xy -7.986889 1.668204) (xy -7.986889 2.427111) (xy -8.212667 2.427111) + (xy -8.212667 1.665111) (xy -8.353778 1.665111) (xy -8.437312 1.663262) (xy -8.478968 1.651888) (xy -8.493304 1.622255) (xy -8.494889 1.580445) (xy -8.494889 1.495778) (xy -7.700917 1.495778) + )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -6.859078 1.506430) (xy -6.726466 1.540224) (xy -6.637926 1.599920) (xy -6.589174 1.688274) (xy -6.575778 1.794841) (xy -6.591162 1.880376) (xy -6.629561 1.965492) (xy -6.679344 2.027607) + (xy -6.703279 2.042398) (xy -6.722647 2.058283) (xy -6.721052 2.090827) (xy -6.695460 2.150565) (xy -6.645449 2.243374) (xy -6.542698 2.427111) (xy -6.656360 2.427111) (xy -6.718071 2.424404) + (xy -6.760383 2.408840) (xy -6.796741 2.369254) (xy -6.840590 2.294482) (xy -6.860277 2.257778) (xy -6.915496 2.162476) (xy -6.959485 2.109765) (xy -7.001511 2.089641) (xy -7.017154 2.088445) + (xy -7.054119 2.092576) (xy -7.074080 2.113775) (xy -7.082234 2.165250) (xy -7.083778 2.257778) (xy -7.083778 2.427111) (xy -7.281333 2.427111) (xy -7.281333 1.792111) (xy -7.083778 1.792111) + (xy -7.081618 1.870148) (xy -7.067360 1.907102) (xy -7.029338 1.918331) (xy -6.987308 1.919111) (xy -6.907412 1.914241) (xy -6.847524 1.902475) (xy -6.846197 1.901981) (xy -6.813072 1.863185) + (xy -6.802358 1.797380) (xy -6.815403 1.729924) (xy -6.835422 1.698978) (xy -6.884393 1.676685) (xy -6.961325 1.665439) (xy -6.976533 1.665111) (xy -7.083778 1.665111) (xy -7.083778 1.792111) + (xy -7.281333 1.792111) (xy -7.281333 1.495778) (xy -7.040043 1.495778) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -5.813778 1.665111) (xy -6.237111 1.665111) (xy -6.237111 1.862667) (xy -5.842000 1.862667) (xy -5.842000 2.032000) (xy -6.237111 2.032000) (xy -6.237111 2.257778) (xy -5.813778 2.257778) + (xy -5.813778 2.427111) (xy -6.434667 2.427111) (xy -6.434667 1.495778) (xy -5.813778 1.495778) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -4.176889 1.665111) (xy -4.600222 1.665111) (xy -4.600222 1.862667) (xy -4.205111 1.862667) (xy -4.205111 2.032000) (xy -4.600222 2.032000) (xy -4.600222 2.257778) (xy -4.176889 2.257778) + (xy -4.176889 2.427111) (xy -4.797778 2.427111) (xy -4.797778 1.495778) (xy -4.176889 1.495778) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -3.330058 1.926167) (xy -3.278728 2.074267) (xy -3.233367 2.204859) (xy -3.197418 2.308055) (xy -3.174322 2.373969) (xy -3.167946 2.391834) (xy -3.179318 2.416279) (xy -3.240132 2.426560) + (xy -3.268570 2.427111) (xy -3.343579 2.422442) (xy -3.383758 2.398690) (xy -3.410543 2.341239) (xy -3.415311 2.327055) (xy -3.448333 2.226998) (xy -3.621162 2.235332) (xy -3.716731 2.241511) + (xy -3.771119 2.253461) (xy -3.799557 2.278837) (xy -3.817278 2.325299) (xy -3.820190 2.335389) (xy -3.843089 2.395061) (xy -3.879175 2.421079) (xy -3.948977 2.427086) (xy -3.958213 2.427111) + (xy -4.034237 2.420434) (xy -4.059069 2.399516) (xy -4.057693 2.391834) (xy -4.044609 2.354234) (xy -4.016451 2.273191) (xy -3.976652 2.158586) (xy -3.928826 2.020827) (xy -3.716255 2.020827) + (xy -3.712031 2.046573) (xy -3.667177 2.058370) (xy -3.612444 2.060222) (xy -3.536515 2.055550) (xy -3.507178 2.039193) (xy -3.508634 2.020827) (xy -3.525946 1.970009) (xy -3.550629 1.891461) + (xy -3.558454 1.865604) (xy -3.583728 1.794777) (xy -3.606188 1.753822) (xy -3.612444 1.749778) (xy -3.631531 1.774118) (xy -3.656685 1.835310) (xy -3.666435 1.865604) (xy -3.691680 1.947381) + (xy -3.712186 2.009627) (xy -3.716255 2.020827) (xy -3.928826 2.020827) (xy -3.928643 2.020301) (xy -3.895969 1.926167) (xy -3.746590 1.495778) (xy -3.479075 1.495778) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -2.652978 1.497214) (xy -2.566836 1.503306) (xy -2.508969 1.516733) (xy -2.465310 1.540172) (xy -2.439172 1.560939) (xy -2.387244 1.621124) (xy -2.359149 1.701194) (xy -2.349543 1.770500) + (xy -2.344654 1.861247) (xy -2.356511 1.919995) (xy -2.391866 1.970298) (xy -2.413134 1.992367) (xy -2.490600 2.069833) (xy -2.410070 2.227305) (xy -2.366344 2.313047) (xy -2.333290 2.378300) + (xy -2.319529 2.405945) (xy -2.337985 2.419006) (xy -2.396248 2.426451) (xy -2.424353 2.427111) (xy -2.486720 2.424575) (xy -2.528733 2.409413) (xy -2.563793 2.370300) (xy -2.605299 2.295907) + (xy -2.624667 2.257778) (xy -2.675988 2.163728) (xy -2.716812 2.111583) (xy -2.757007 2.090603) (xy -2.780295 2.088445) (xy -2.819176 2.092082) (xy -2.840169 2.111828) (xy -2.848761 2.160932) + (xy -2.850443 2.252645) (xy -2.850444 2.257778) (xy -2.850444 2.427111) (xy -3.048000 2.427111) (xy -3.048000 1.919111) (xy -2.850444 1.919111) (xy -2.722839 1.919111) (xy -2.639015 1.914035) + (xy -2.592204 1.893460) (xy -2.564714 1.852126) (xy -2.546936 1.766848) (xy -2.578770 1.705189) (xy -2.656984 1.670935) (xy -2.724437 1.665111) (xy -2.850444 1.665111) (xy -2.850444 1.919111) + (xy -3.048000 1.919111) (xy -3.048000 1.495778) (xy -2.781463 1.495778) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -1.016000 1.862667) (xy -0.620889 1.862667) (xy -0.620889 1.495778) (xy -0.423333 1.495778) (xy -0.423333 2.427111) (xy -0.618310 2.427111) (xy -0.635000 2.046111) (xy -1.016000 2.029421) + (xy -1.016000 2.427111) (xy -1.241778 2.427111) (xy -1.241778 1.495778) (xy -1.016000 1.495778) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 2.032000 2.427111) (xy 1.836419 2.427111) (xy 1.828376 2.137834) (xy 1.820333 1.848556) (xy 1.707495 2.137834) (xy 1.656457 2.265602) (xy 1.618720 2.349326) (xy 1.588522 2.398091) + (xy 1.560102 2.420979) (xy 1.527697 2.427075) (xy 1.524000 2.427111) (xy 1.490876 2.422199) (xy 1.462316 2.401407) (xy 1.432557 2.355651) (xy 1.395837 2.275847) (xy 1.346395 2.152911) + (xy 1.340505 2.137834) (xy 1.227667 1.848556) (xy 1.219624 2.137834) (xy 1.211581 2.427111) (xy 1.016000 2.427111) (xy 1.016000 1.492685) (xy 1.146576 1.501287) (xy 1.277151 1.509889) + (xy 1.391116 1.799167) (xy 1.438393 1.915565) (xy 1.479282 2.009581) (xy 1.508968 2.070557) (xy 1.521784 2.088445) (xy 1.538409 2.063934) (xy 1.570718 1.997470) (xy 1.613810 1.899657) + (xy 1.655506 1.799167) (xy 1.772525 1.509889) (xy 1.902263 1.501287) (xy 2.032000 1.492685) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 2.598154 1.496927) (xy 2.682727 1.502225) (xy 2.738023 1.514450) (xy 2.777615 1.536377) (xy 2.809394 1.565051) (xy 2.867015 1.655096) (xy 2.879437 1.753674) (xy 2.846777 1.844227) + (xy 2.807122 1.886625) (xy 2.760192 1.925965) (xy 2.755575 1.945615) (xy 2.790987 1.960485) (xy 2.795587 1.961948) (xy 2.858905 2.008668) (xy 2.901226 2.090253) (xy 2.915278 2.186816) + (xy 2.903201 2.256226) (xy 2.863419 2.329667) (xy 2.798415 2.380153) (xy 2.699775 2.410906) (xy 2.559081 2.425147) (xy 2.456735 2.427111) (xy 2.201333 2.427111) (xy 2.201333 2.028908) + (xy 2.398889 2.028908) (xy 2.398889 2.260871) (xy 2.530054 2.252269) (xy 2.637889 2.232844) (xy 2.692187 2.194278) (xy 2.709478 2.129261) (xy 2.675579 2.078453) (xy 2.594854 2.046072) + (xy 2.530054 2.037509) (xy 2.398889 2.028908) (xy 2.201333 2.028908) (xy 2.201333 1.763889) (xy 2.398889 1.763889) (xy 2.402513 1.827816) (xy 2.424394 1.855722) (xy 2.481051 1.862516) + (xy 2.508322 1.862667) (xy 2.589611 1.852482) (xy 2.646410 1.827060) (xy 2.651765 1.821688) (xy 2.671780 1.763211) (xy 2.667430 1.722910) (xy 2.644186 1.685522) (xy 2.595082 1.668510) + (xy 2.523987 1.665111) (xy 2.446712 1.667319) (xy 2.410403 1.682088) (xy 2.399584 1.721640) (xy 2.398889 1.763889) (xy 2.201333 1.763889) (xy 2.201333 1.495778) (xy 2.470727 1.495778) + )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 3.245556 1.862667) (xy 3.640667 1.862667) (xy 3.640667 1.495778) (xy 3.866445 1.495778) (xy 3.866445 2.427111) (xy 3.640667 2.427111) (xy 3.640667 2.032000) (xy 3.245556 2.032000) + (xy 3.245556 2.427111) (xy 3.048000 2.427111) (xy 3.048000 1.495778) (xy 3.245556 1.495778) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 5.935881 1.509889) (xy 6.062935 1.815903) (xy 6.189988 2.121918) (xy 6.440532 1.495778) (xy 6.688667 1.495778) (xy 6.688667 2.427111) (xy 6.491111 2.427111) (xy 6.489524 2.137834) + (xy 6.487937 1.848556) (xy 6.371441 2.139207) (xy 6.319517 2.266300) (xy 6.281720 2.349384) (xy 6.252211 2.397245) (xy 6.225154 2.418668) (xy 6.194710 2.422440) (xy 6.183925 2.421429) + (xy 6.150091 2.411448) (xy 6.119458 2.384312) (xy 6.086335 2.330940) (xy 6.045028 2.242251) (xy 5.992882 2.116667) (xy 5.872860 1.820334) (xy 5.871541 2.123722) (xy 5.870222 2.427111) + (xy 5.672667 2.427111) (xy 5.672667 1.492685) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 6.992928 1.499023) (xy 7.029528 1.513919) (xy 7.069034 1.548207) (xy 7.119249 1.609625) (xy 7.187975 1.705914) (xy 7.240707 1.782715) (xy 7.436556 2.069651) (xy 7.444598 1.782715) + (xy 7.452641 1.495778) (xy 7.648222 1.495778) (xy 7.648222 2.427111) (xy 7.556500 2.426552) (xy 7.515581 2.422802) (xy 7.478899 2.406965) (xy 7.438737 2.371323) (xy 7.387377 2.308159) + (xy 7.317102 2.209754) (xy 7.267222 2.137274) (xy 7.069667 1.848556) (xy 7.061624 2.137834) (xy 7.053581 2.427111) (xy 6.858000 2.427111) (xy 6.858000 1.495778) (xy 6.951429 1.495778) + )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 8.551333 1.580445) (xy 8.548250 1.630565) (xy 8.529295 1.655559) (xy 8.479906 1.664161) (xy 8.410222 1.665111) (xy 8.269111 1.665111) (xy 8.269111 2.427111) (xy 8.043333 2.427111) + (xy 8.043333 1.668204) (xy 7.909278 1.659602) (xy 7.827501 1.651275) (xy 7.786290 1.633688) (xy 7.769766 1.597268) (xy 7.766292 1.573389) (xy 7.757362 1.495778) (xy 8.551333 1.495778) + )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 9.060820 1.496639) (xy 9.144644 1.501396) (xy 9.199924 1.513312) (xy 9.240609 1.535649) (xy 9.280647 1.571667) (xy 9.287282 1.578274) (xy 9.354126 1.681824) (xy 9.374024 1.801714) + (xy 9.346575 1.922361) (xy 9.298071 2.000000) (xy 9.226364 2.085219) (xy 9.312182 2.237280) (xy 9.357265 2.319998) (xy 9.388345 2.382443) (xy 9.398000 2.408227) (xy 9.373049 2.420353) + (xy 9.311187 2.426738) (xy 9.292167 2.427031) (xy 9.233016 2.423539) (xy 9.191869 2.405466) (xy 9.155154 2.361250) (xy 9.109297 2.279330) (xy 9.101667 2.264753) (xy 9.049505 2.172957) + (xy 9.007534 2.122943) (xy 8.965419 2.103912) (xy 8.946445 2.102556) (xy 8.906437 2.106844) (xy 8.884187 2.128697) (xy 8.873129 2.181600) (xy 8.867425 2.264834) (xy 8.858961 2.427111) + (xy 8.664222 2.427111) (xy 8.664222 1.919111) (xy 8.861778 1.919111) (xy 8.983133 1.919111) (xy 9.066296 1.911663) (xy 9.127301 1.893037) (xy 9.138356 1.885245) (xy 9.166631 1.830223) + (xy 9.172222 1.792111) (xy 9.153471 1.719977) (xy 9.093880 1.678771) (xy 8.988442 1.665131) (xy 8.983133 1.665111) (xy 8.861778 1.665111) (xy 8.861778 1.919111) (xy 8.664222 1.919111) + (xy 8.664222 1.495778) (xy 8.934504 1.495778) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 10.160000 1.665111) (xy 9.708445 1.665111) (xy 9.708445 1.860194) (xy 9.913056 1.868486) (xy 10.018675 1.873819) (xy 10.080498 1.882479) (xy 10.111148 1.899471) (xy 10.123250 1.929802) + (xy 10.126474 1.953117) (xy 10.128928 1.992388) (xy 10.117330 2.015740) (xy 10.080159 2.028110) (xy 10.005892 2.034438) (xy 9.928919 2.037784) (xy 9.722556 2.046111) (xy 9.713796 2.151945) + (xy 9.705037 2.257778) (xy 10.160000 2.257778) (xy 10.160000 2.427111) (xy 9.510889 2.427111) (xy 9.510889 1.495778) (xy 10.160000 1.495778) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 12.937822 1.509889) (xy 13.051744 1.798991) (xy 13.098982 1.915365) (xy 13.139771 2.009380) (xy 13.169309 2.070368) (xy 13.181961 2.088269) (xy 13.198452 2.063853) (xy 13.230924 1.997417) + (xy 13.274526 1.899400) (xy 13.319563 1.792111) (xy 13.440872 1.495778) (xy 13.687778 1.495778) (xy 13.687778 2.427111) (xy 13.490222 2.427111) (xy 13.488635 2.137834) (xy 13.487048 1.848556) + (xy 13.370553 2.139207) (xy 13.318628 2.266300) (xy 13.280831 2.349384) (xy 13.251322 2.397245) (xy 13.224265 2.418668) (xy 13.193821 2.422440) (xy 13.183036 2.421429) (xy 13.149202 2.411448) + (xy 13.118569 2.384312) (xy 13.085446 2.330940) (xy 13.044140 2.242251) (xy 12.991993 2.116667) (xy 12.871972 1.820334) (xy 12.870652 2.123722) (xy 12.869333 2.427111) (xy 12.671778 2.427111) + (xy 12.671778 1.492685) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -9.348845 0.205299) (xy -9.214382 0.245170) (xy -9.113162 0.330602) (xy -9.045396 0.461385) (xy -9.028463 0.522922) (xy -9.008999 0.696165) (xy -9.027342 0.856089) (xy -9.080053 0.992696) + (xy -9.163690 1.095991) (xy -9.242489 1.144698) (xy -9.344684 1.178413) (xy -9.428607 1.178309) (xy -9.521780 1.143564) (xy -9.537105 1.135901) (xy -9.642197 1.065049) (xy -9.710346 0.972865) + (xy -9.748152 0.847526) (xy -9.759935 0.736613) (xy -9.758639 0.702391) (xy -9.558656 0.702391) (xy -9.545022 0.823621) (xy -9.508952 0.923572) (xy -9.480632 0.961590) (xy -9.424139 1.006177) + (xy -9.374769 1.007270) (xy -9.315097 0.963451) (xy -9.297939 0.946727) (xy -9.257247 0.896258) (xy -9.236224 0.836391) (xy -9.229013 0.747003) (xy -9.228667 0.708676) (xy -9.233207 0.603691) + (xy -9.244905 0.511624) (xy -9.255891 0.468292) (xy -9.302972 0.406880) (xy -9.373365 0.375244) (xy -9.444936 0.380974) (xy -9.468792 0.395308) (xy -9.520156 0.469806) (xy -9.550239 0.578310) + (xy -9.558656 0.702391) (xy -9.758639 0.702391) (xy -9.752839 0.549278) (xy -9.709980 0.399108) (xy -9.633740 0.289179) (xy -9.526499 0.222567) (xy -9.390636 0.202347) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -6.201489 0.207027) (xy -6.081683 0.250245) (xy -5.985086 0.331874) (xy -5.939079 0.408934) (xy -5.907026 0.532443) (xy -5.897400 0.679474) (xy -5.909406 0.827353) (xy -5.942247 0.953411) + (xy -5.959511 0.989969) (xy -6.050619 1.102322) (xy -6.165652 1.165753) (xy -6.296446 1.177753) (xy -6.434667 1.135901) (xy -6.539600 1.052814) (xy -6.608797 0.927154) (xy -6.641451 0.760687) + (xy -6.643843 0.684260) (xy -6.431322 0.684260) (xy -6.428903 0.737910) (xy -6.416470 0.845313) (xy -6.394495 0.913430) (xy -6.357165 0.959297) (xy -6.351824 0.963753) (xy -6.288391 1.006749) + (xy -6.241068 1.007822) (xy -6.187793 0.966633) (xy -6.182241 0.961130) (xy -6.130761 0.875950) (xy -6.103260 0.760236) (xy -6.099961 0.633543) (xy -6.121090 0.515426) (xy -6.166871 0.425441) + (xy -6.175969 0.415488) (xy -6.248595 0.374889) (xy -6.323185 0.384725) (xy -6.386052 0.441202) (xy -6.406269 0.479353) (xy -6.425874 0.565838) (xy -6.431322 0.684260) (xy -6.643843 0.684260) + (xy -6.644060 0.677334) (xy -6.626728 0.504822) (xy -6.576599 0.373765) (xy -6.490817 0.277770) (xy -6.454463 0.252858) (xy -6.330437 0.206478) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -10.145115 0.214276) (xy -10.081579 0.226983) (xy -10.033696 0.257111) (xy -9.988352 0.303834) (xy -9.929125 0.384860) (xy -9.905062 0.462977) (xy -9.918429 0.546095) (xy -9.971496 0.642123) + (xy -10.066529 0.758972) (xy -10.141975 0.839611) (xy -10.285072 0.987778) (xy -9.906000 0.987778) (xy -9.906000 1.157111) (xy -10.244667 1.157111) (xy -10.387410 1.156678) (xy -10.483105 1.154236) + (xy -10.541148 1.148075) (xy -10.570934 1.136485) (xy -10.581859 1.117755) (xy -10.583333 1.095448) (xy -10.562072 1.044005) (xy -10.500283 0.960442) (xy -10.400955 0.848581) (xy -10.343444 0.788637) + (xy -10.250572 0.690547) (xy -10.174435 0.604075) (xy -10.122832 0.538507) (xy -10.103559 0.503132) (xy -10.103555 0.502917) (xy -10.126193 0.430738) (xy -10.182205 0.385058) (xy -10.253735 0.369774) + (xy -10.322932 0.388781) (xy -10.371303 0.444500) (xy -10.411039 0.492658) (xy -10.482834 0.507913) (xy -10.491248 0.508000) (xy -10.561219 0.492931) (xy -10.583920 0.449527) (xy -10.559220 0.380498) + (xy -10.500982 0.303834) (xy -10.450035 0.252326) (xy -10.401348 0.224679) (xy -10.334559 0.213573) (xy -10.244667 0.211667) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -8.471079 0.207486) (xy -8.366184 0.243770) (xy -8.280630 0.308304) (xy -8.226126 0.399233) (xy -8.212667 0.482961) (xy -8.222974 0.548780) (xy -8.258089 0.620317) (xy -8.324299 0.707314) + (xy -8.427892 0.819516) (xy -8.433715 0.825500) (xy -8.591900 0.987778) (xy -8.184444 0.987778) (xy -8.184444 1.157111) (xy -8.861778 1.157111) (xy -8.862105 1.079500) (xy -8.852733 1.034114) + (xy -8.819945 0.979640) (xy -8.757287 0.907786) (xy -8.658303 0.810264) (xy -8.636327 0.789529) (xy -8.517718 0.671444) (xy -8.442868 0.578925) (xy -8.408784 0.505915) (xy -8.412470 0.446359) + (xy -8.444089 0.400756) (xy -8.511754 0.367053) (xy -8.589582 0.372269) (xy -8.653272 0.412446) (xy -8.669357 0.437445) (xy -8.708294 0.489429) (xy -8.773122 0.507392) (xy -8.795752 0.508000) + (xy -8.858964 0.506028) (xy -8.884049 0.490951) (xy -8.876910 0.449030) (xy -8.849890 0.381968) (xy -8.784720 0.286694) (xy -8.692056 0.227092) (xy -8.583606 0.201308) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -7.679739 0.205749) (xy -7.574901 0.230116) (xy -7.495857 0.283937) (xy -7.472749 0.308115) (xy -7.413588 0.391540) (xy -7.392462 0.473491) (xy -7.411475 0.561615) (xy -7.472735 0.663557) + (xy -7.578347 0.786964) (xy -7.615270 0.825500) (xy -7.773455 0.987778) (xy -7.394222 0.987778) (xy -7.394222 1.157111) (xy -7.732889 1.157111) (xy -7.875569 1.156781) (xy -7.971212 1.154553) + (xy -8.029225 1.148570) (xy -8.059015 1.136975) (xy -8.069989 1.117911) (xy -8.071555 1.090261) (xy -8.054270 1.039854) (xy -8.000027 0.967289) (xy -7.905245 0.868132) (xy -7.847512 0.812808) + (xy -7.720533 0.685493) (xy -7.639017 0.583635) (xy -7.600508 0.502868) (xy -7.602549 0.438823) (xy -7.620304 0.408856) (xy -7.684051 0.369924) (xy -7.761144 0.369556) (xy -7.827756 0.404796) + (xy -7.850913 0.437445) (xy -7.888543 0.488572) (xy -7.950957 0.507036) (xy -7.980367 0.508000) (xy -8.044776 0.504377) (xy -8.066499 0.484426) (xy -8.059228 0.434528) (xy -8.058235 0.430547) + (xy -8.003642 0.317194) (xy -7.909761 0.240581) (xy -7.783249 0.204662) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -5.108222 0.366889) (xy -5.302758 0.366889) (xy -5.416177 0.370382) (xy -5.484513 0.386028) (xy -5.518956 0.421582) (xy -5.530697 0.484795) (xy -5.531555 0.525412) (xy -5.525396 0.573406) + (xy -5.497779 0.575540) (xy -5.476966 0.565438) (xy -5.369308 0.536248) (xy -5.254844 0.549501) (xy -5.158184 0.602214) (xy -5.155818 0.604373) (xy -5.081565 0.708014) (xy -5.054084 0.827808) + (xy -5.073004 0.948865) (xy -5.137953 1.056295) (xy -5.167792 1.084854) (xy -5.236233 1.130925) (xy -5.313566 1.152449) (xy -5.406276 1.157111) (xy -5.506506 1.151712) (xy -5.575342 1.130160) + (xy -5.637250 1.084420) (xy -5.642609 1.079500) (xy -5.712516 0.999806) (xy -5.735693 0.936533) (xy -5.711634 0.894472) (xy -5.663700 0.879886) (xy -5.583562 0.891307) (xy -5.516881 0.943386) + (xy -5.443893 1.002662) (xy -5.377876 1.008835) (xy -5.308922 0.962665) (xy -5.305778 0.959556) (xy -5.256441 0.878978) (xy -5.257307 0.796356) (xy -5.307463 0.726126) (xy -5.321179 0.716226) + (xy -5.377922 0.687441) (xy -5.434826 0.686377) (xy -5.499940 0.704436) (xy -5.595724 0.731809) (xy -5.657697 0.733326) (xy -5.692387 0.701807) (xy -5.706319 0.630072) (xy -5.706019 0.510942) + (xy -5.704753 0.479111) (xy -5.698679 0.367564) (xy -5.691400 0.278255) (xy -5.684192 0.225728) (xy -5.681921 0.218722) (xy -5.650124 0.209772) (xy -5.574599 0.202696) (xy -5.468055 0.198410) + (xy -5.388779 0.197556) (xy -5.108222 0.197556) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -3.935206 0.208719) (xy -3.823459 0.246999) (xy -3.735383 0.317505) (xy -3.695242 0.385916) (xy -3.677851 0.473464) (xy -3.696077 0.563505) (xy -3.753689 0.664978) (xy -3.854454 0.786822) + (xy -3.872314 0.806117) (xy -4.042249 0.987778) (xy -3.668889 0.987778) (xy -3.668889 1.157111) (xy -4.007555 1.157111) (xy -4.150243 1.156752) (xy -4.245893 1.154464) (xy -4.303909 1.148434) + (xy -4.333699 1.136847) (xy -4.344668 1.117891) (xy -4.346222 1.091797) (xy -4.325764 1.038063) (xy -4.263488 0.954405) (xy -4.158041 0.839101) (xy -4.106333 0.786594) (xy -4.012890 0.689532) + (xy -3.936459 0.603250) (xy -3.884994 0.537215) (xy -3.866444 0.501146) (xy -3.889484 0.432401) (xy -3.946316 0.388719) (xy -4.018511 0.374000) (xy -4.087641 0.392142) (xy -4.134192 0.444500) + (xy -4.173927 0.492658) (xy -4.245723 0.507913) (xy -4.254137 0.508000) (xy -4.324093 0.492812) (xy -4.346948 0.449290) (xy -4.322505 0.380495) (xy -4.266935 0.307264) (xy -4.171497 0.236850) + (xy -4.056070 0.204669) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -2.912990 0.515056) (xy -2.908678 0.649295) (xy -2.902866 0.736916) (xy -2.893496 0.787742) (xy -2.878514 0.811594) (xy -2.855862 0.818296) (xy -2.849490 0.818445) (xy -2.808760 0.832186) + (xy -2.794505 0.882766) (xy -2.794000 0.903111) (xy -2.802870 0.965085) (xy -2.835874 0.986904) (xy -2.850444 0.987778) (xy -2.891760 1.001083) (xy -2.906307 1.050589) (xy -2.906889 1.072445) + (xy -2.912395 1.129329) (xy -2.940325 1.152660) (xy -3.005667 1.157111) (xy -3.072032 1.152391) (xy -3.099252 1.128451) (xy -3.104444 1.072445) (xy -3.104444 0.987778) (xy -3.556000 0.987778) + (xy -3.556000 0.903807) (xy -3.537645 0.831731) (xy -3.523113 0.801532) (xy -3.330222 0.801532) (xy -3.305162 0.812127) (xy -3.242564 0.818016) (xy -3.217333 0.818445) (xy -3.104444 0.818445) + (xy -3.104444 0.635000) (xy -3.105862 0.541565) (xy -3.109571 0.475491) (xy -3.114544 0.451556) (xy -3.133423 0.473532) (xy -3.171265 0.529520) (xy -3.218882 0.604608) (xy -3.267085 0.683888) + (xy -3.306685 0.752447) (xy -3.328493 0.795375) (xy -3.330222 0.801532) (xy -3.523113 0.801532) (xy -3.482966 0.718103) (xy -3.392541 0.564083) (xy -3.362092 0.515751) (xy -3.168184 0.211667) + (xy -2.921000 0.211667) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -6.801555 0.762000) (xy -7.224889 0.762000) (xy -7.224889 0.592667) (xy -6.801555 0.592667) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -4.691944 0.598535) (xy -4.582012 0.603529) (xy -4.516313 0.611312) (xy -4.482666 0.626481) (xy -4.468888 0.653628) (xy -4.464292 0.684389) (xy -4.455362 0.762000) (xy -4.910667 0.762000) + (xy -4.910667 0.590293) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -2.155564 -1.039595) (xy -2.076355 -0.985363) (xy -2.055468 -0.963435) (xy -1.980079 -0.844364) (xy -1.937156 -0.701939) (xy -1.925944 -0.549870) (xy -1.945684 -0.401867) (xy -1.995620 -0.271637) + (xy -2.074994 -0.172891) (xy -2.100158 -0.154198) (xy -2.197599 -0.113663) (xy -2.315033 -0.095507) (xy -2.413000 -0.103632) (xy -2.520687 -0.159990) (xy -2.603942 -0.261552) (xy -2.658685 -0.400874) + (xy -2.676692 -0.538767) (xy -2.478471 -0.538767) (xy -2.455069 -0.409835) (xy -2.403967 -0.315912) (xy -2.397315 -0.308870) (xy -2.340599 -0.263979) (xy -2.291209 -0.262642) (xy -2.231649 -0.306236) + (xy -2.214162 -0.323273) (xy -2.173536 -0.373619) (xy -2.152510 -0.433302) (xy -2.145254 -0.522391) (xy -2.144889 -0.561834) (xy -2.156360 -0.717654) (xy -2.191365 -0.823983) (xy -2.250791 -0.883030) + (xy -2.277864 -0.893021) (xy -2.356849 -0.887546) (xy -2.420231 -0.832411) (xy -2.462446 -0.733503) (xy -2.471379 -0.688382) (xy -2.478471 -0.538767) (xy -2.676692 -0.538767) (xy -2.680837 -0.570512) + (xy -2.681111 -0.592884) (xy -2.662180 -0.769652) (xy -2.607270 -0.908272) (xy -2.519209 -1.005573) (xy -2.400823 -1.058381) (xy -2.261072 -1.064251) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -0.478413 -1.058817) (xy -0.365148 -1.004514) (xy -0.274731 -0.910569) (xy -0.254277 -0.875431) (xy -0.215117 -0.755572) (xy -0.198144 -0.608798) (xy -0.204196 -0.460448) (xy -0.234113 -0.335860) + (xy -0.236252 -0.330704) (xy -0.313464 -0.210407) (xy -0.419322 -0.130241) (xy -0.542691 -0.094645) (xy -0.672437 -0.108057) (xy -0.732818 -0.132742) (xy -0.835099 -0.215495) (xy -0.906949 -0.333992) + (xy -0.946991 -0.475586) (xy -0.950882 -0.561834) (xy -0.733778 -0.561834) (xy -0.729800 -0.458576) (xy -0.713982 -0.391373) (xy -0.680495 -0.340156) (xy -0.664505 -0.323273) (xy -0.610112 -0.272699) + (xy -0.572448 -0.257246) (xy -0.529474 -0.271008) (xy -0.509113 -0.281626) (xy -0.451355 -0.341592) (xy -0.413598 -0.439096) (xy -0.398280 -0.558034) (xy -0.407845 -0.682301) (xy -0.434565 -0.773572) + (xy -0.479676 -0.858337) (xy -0.530004 -0.895569) (xy -0.597631 -0.893758) (xy -0.603543 -0.892333) (xy -0.670950 -0.848539) (xy -0.713837 -0.756359) (xy -0.732717 -0.614487) (xy -0.733778 -0.561834) + (xy -0.950882 -0.561834) (xy -0.953850 -0.627630) (xy -0.926148 -0.777475) (xy -0.862510 -0.912476) (xy -0.823199 -0.963435) (xy -0.720649 -1.040321) (xy -0.601317 -1.071434) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 13.358199 -1.062907) (xy 13.478270 -1.018253) (xy 13.575115 -0.934275) (xy 13.620684 -0.856845) (xy 13.643892 -0.772106) (xy 13.659292 -0.659927) (xy 13.663083 -0.577573) (xy 13.644575 -0.399242) + (xy 13.590149 -0.258962) (xy 13.501455 -0.160225) (xy 13.452087 -0.130884) (xy 13.331374 -0.090516) (xy 13.221124 -0.096203) (xy 13.125270 -0.134099) (xy 13.019975 -0.218548) (xy 12.950677 -0.345264) + (xy 12.918256 -0.512327) (xy 12.915826 -0.591974) (xy 12.920014 -0.635150) (xy 13.125496 -0.635150) (xy 13.128805 -0.553993) (xy 13.138138 -0.443915) (xy 13.154266 -0.374104) (xy 13.182185 -0.328410) + (xy 13.205186 -0.307048) (xy 13.265352 -0.264658) (xy 13.310506 -0.260840) (xy 13.365970 -0.295724) (xy 13.381262 -0.307951) (xy 13.415957 -0.342221) (xy 13.436054 -0.385168) (xy 13.445407 -0.452138) + (xy 13.447873 -0.558475) (xy 13.447889 -0.573099) (xy 13.444659 -0.692770) (xy 13.432975 -0.771302) (xy 13.409851 -0.823737) (xy 13.394126 -0.843704) (xy 13.323297 -0.895070) (xy 13.253264 -0.890364) + (xy 13.182273 -0.829438) (xy 13.179128 -0.825500) (xy 13.145918 -0.774489) (xy 13.129180 -0.717188) (xy 13.125496 -0.635150) (xy 12.920014 -0.635150) (xy 12.932529 -0.764158) (xy 12.981053 -0.894583) + (xy 13.064385 -0.990016) (xy 13.103537 -1.017142) (xy 13.228691 -1.063962) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -10.396134 -1.063947) (xy -10.342572 -1.042938) (xy -10.336527 -1.037166) (xy -10.314826 -0.997260) (xy -10.278442 -0.917568) (xy -10.233076 -0.810943) (xy -10.199015 -0.727135) (xy -10.152404 -0.613958) + (xy -10.112277 -0.523346) (xy -10.083653 -0.466236) (xy -10.072736 -0.451969) (xy -10.055433 -0.476117) (xy -10.024573 -0.540504) (xy -9.986130 -0.632412) (xy -9.976821 -0.656166) (xy -9.914882 -0.813396) + (xy -9.866699 -0.925230) (xy -9.826794 -0.999340) (xy -9.789691 -1.043400) (xy -9.749913 -1.065081) (xy -9.701982 -1.072054) (xy -9.679649 -1.072444) (xy -9.567333 -1.072444) (xy -9.567333 -0.112889) + (xy -9.762914 -0.112889) (xy -9.770957 -0.402166) (xy -9.779000 -0.691444) (xy -9.891889 -0.401098) (xy -9.942432 -0.273752) (xy -9.979357 -0.190609) (xy -10.008362 -0.142835) (xy -10.035146 -0.121596) + (xy -10.065407 -0.118058) (xy -10.073937 -0.118875) (xy -10.107254 -0.129247) (xy -10.138013 -0.157796) (xy -10.171808 -0.213525) (xy -10.214230 -0.305434) (xy -10.263118 -0.423333) (xy -10.383140 -0.719666) + (xy -10.384459 -0.416278) (xy -10.385778 -0.112889) (xy -10.583333 -0.112889) (xy -10.583333 -1.072444) (xy -10.473900 -1.072444) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -9.141147 -1.065628) (xy -8.976851 -1.049582) (xy -8.859539 -1.020146) (xy -8.780448 -0.972754) (xy -8.730810 -0.902839) (xy -8.709580 -0.840779) (xy -8.698761 -0.703560) (xy -8.739008 -0.585557) + (xy -8.781774 -0.531560) (xy -8.841445 -0.471888) (xy -8.760492 -0.313555) (xy -8.716656 -0.227581) (xy -8.683478 -0.162076) (xy -8.669529 -0.134055) (xy -8.687985 -0.120994) (xy -8.746248 -0.113549) + (xy -8.774353 -0.112889) (xy -8.836720 -0.115425) (xy -8.878733 -0.130587) (xy -8.913793 -0.169700) (xy -8.955299 -0.244093) (xy -8.974667 -0.282222) (xy -9.025988 -0.376272) (xy -9.066812 -0.428417) + (xy -9.107007 -0.449397) (xy -9.130295 -0.451555) (xy -9.169176 -0.447918) (xy -9.190169 -0.428172) (xy -9.198761 -0.379068) (xy -9.200443 -0.287355) (xy -9.200444 -0.282222) (xy -9.200444 -0.112889) + (xy -9.398000 -0.112889) (xy -9.398000 -0.910325) (xy -9.200444 -0.910325) (xy -9.200444 -0.620889) (xy -9.094611 -0.621321) (xy -9.014291 -0.627879) (xy -8.955338 -0.643407) (xy -8.949972 -0.646333) + (xy -8.921395 -0.692001) (xy -8.911677 -0.762071) (xy -8.922889 -0.827056) (xy -8.935861 -0.847339) (xy -8.977326 -0.866832) (xy -9.051378 -0.885918) (xy -9.080500 -0.891162) (xy -9.200444 -0.910325) + (xy -9.398000 -0.910325) (xy -9.398000 -1.080273) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -7.916333 -1.058333) (xy -7.916333 -0.889000) (xy -8.135055 -0.880757) (xy -8.353778 -0.872514) (xy -8.353778 -0.677333) (xy -7.958667 -0.677333) (xy -7.958667 -0.508000) (xy -8.353778 -0.508000) + (xy -8.353778 -0.282222) (xy -7.902222 -0.282222) (xy -7.902222 -0.112889) (xy -8.551333 -0.112889) (xy -8.551333 -1.074293) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -7.140222 -0.874889) (xy -7.563555 -0.874889) (xy -7.563555 -0.679912) (xy -7.373055 -0.671567) (xy -7.271936 -0.665903) (xy -7.214104 -0.656275) (xy -7.186429 -0.637025) (xy -7.175777 -0.602492) + (xy -7.173625 -0.585611) (xy -7.164695 -0.508000) (xy -7.563555 -0.508000) (xy -7.563555 -0.112889) (xy -7.761111 -0.112889) (xy -7.761111 -1.072444) (xy -7.140222 -1.072444) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -6.822722 -1.072287) (xy -6.628989 -1.059535) (xy -6.483361 -1.020463) (xy -6.383095 -0.953262) (xy -6.325451 -0.856119) (xy -6.307667 -0.732145) (xy -6.325031 -0.604493) (xy -6.379939 -0.514194) + (xy -6.476617 -0.457285) (xy -6.619289 -0.429802) (xy -6.630972 -0.428888) (xy -6.801555 -0.416549) (xy -6.801555 -0.112889) (xy -6.999111 -0.112889) (xy -6.999111 -0.909393) (xy -6.801555 -0.909393) + (xy -6.801555 -0.592666) (xy -6.694311 -0.592666) (xy -6.615293 -0.601091) (xy -6.558936 -0.621834) (xy -6.553200 -0.626533) (xy -6.525518 -0.685084) (xy -6.521892 -0.761523) (xy -6.542128 -0.826358) + (xy -6.554611 -0.840685) (xy -6.604539 -0.864932) (xy -6.681597 -0.886783) (xy -6.695722 -0.889615) (xy -6.801555 -0.909393) (xy -6.999111 -0.909393) (xy -6.999111 -1.072444) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -5.820833 -1.066185) (xy -5.432778 -1.058333) (xy -5.432778 -0.973666) (xy -5.436000 -0.924240) (xy -5.455123 -0.898019) (xy -5.504333 -0.886082) (xy -5.580944 -0.880469) (xy -5.729111 -0.871939) + (xy -5.729111 -0.112889) (xy -5.926667 -0.112889) (xy -5.926667 -0.874889) (xy -6.208889 -0.874889) (xy -6.208889 -1.074037) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -5.048925 -1.065628) (xy -4.885052 -1.050326) (xy -4.769382 -1.023160) (xy -4.694157 -0.979649) (xy -4.651621 -0.915311) (xy -4.634034 -0.825880) (xy -4.635189 -0.743507) (xy -4.661255 -0.689123) + (xy -4.701340 -0.652313) (xy -4.744290 -0.611175) (xy -4.741160 -0.593134) (xy -4.735402 -0.592666) (xy -4.668463 -0.567626) (xy -4.617998 -0.503288) (xy -4.590050 -0.415824) (xy -4.590659 -0.321409) + (xy -4.611179 -0.260099) (xy -4.660523 -0.195254) (xy -4.735637 -0.151113) (xy -4.845254 -0.124876) (xy -4.998104 -0.113742) (xy -5.070100 -0.112889) (xy -5.305778 -0.112889) (xy -5.305778 -0.282222) + (xy -5.108222 -0.282222) (xy -4.986867 -0.282222) (xy -4.903704 -0.289671) (xy -4.842699 -0.308297) (xy -4.831644 -0.316089) (xy -4.799313 -0.378426) (xy -4.813950 -0.441459) (xy -4.867117 -0.495096) + (xy -4.950378 -0.529249) (xy -5.015724 -0.536222) (xy -5.072568 -0.533130) (xy -5.099487 -0.513618) (xy -5.107663 -0.462335) (xy -5.108222 -0.409222) (xy -5.108222 -0.282222) (xy -5.305778 -0.282222) + (xy -5.305778 -0.790222) (xy -5.108222 -0.790222) (xy -5.105403 -0.717961) (xy -5.086629 -0.685852) (xy -5.036423 -0.677602) (xy -5.000978 -0.677333) (xy -4.921960 -0.685758) (xy -4.865602 -0.706500) + (xy -4.859867 -0.711200) (xy -4.826387 -0.772255) (xy -4.841832 -0.829357) (xy -4.898823 -0.874619) (xy -4.989975 -0.900155) (xy -5.039504 -0.903111) (xy -5.083828 -0.896644) (xy -5.103524 -0.866713) + (xy -5.108208 -0.797525) (xy -5.108222 -0.790222) (xy -5.305778 -0.790222) (xy -5.305778 -1.080273) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -3.848953 -1.028059) (xy -3.734192 -0.948321) (xy -3.661720 -0.844660) (xy -3.612218 -0.747889) (xy -3.712689 -0.739035) (xy -3.793238 -0.742642) (xy -3.849939 -0.779068) (xy -3.870072 -0.802535) + (xy -3.944608 -0.857780) (xy -4.039302 -0.877398) (xy -4.132213 -0.860144) (xy -4.189018 -0.820635) (xy -4.229148 -0.745860) (xy -4.253958 -0.640518) (xy -4.259162 -0.529399) (xy -4.250214 -0.466653) + (xy -4.200738 -0.363102) (xy -4.122541 -0.301189) (xy -4.028140 -0.284168) (xy -3.930053 -0.315293) (xy -3.866444 -0.366889) (xy -3.786641 -0.432264) (xy -3.717168 -0.455840) (xy -3.667438 -0.441599) + (xy -3.646864 -0.393518) (xy -3.664859 -0.315578) (xy -3.678847 -0.287577) (xy -3.755299 -0.202959) (xy -3.866965 -0.143002) (xy -3.996642 -0.111426) (xy -4.127130 -0.111952) (xy -4.241227 -0.148298) + (xy -4.262331 -0.161410) (xy -4.362651 -0.248922) (xy -4.425133 -0.353341) (xy -4.457400 -0.465666) (xy -4.470648 -0.638232) (xy -4.439402 -0.790437) (xy -4.368828 -0.915550) (xy -4.264089 -1.006839) + (xy -4.130354 -1.057572) (xy -3.998398 -1.063837) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -3.088539 -1.061281) (xy -2.976793 -1.023001) (xy -2.888717 -0.952495) (xy -2.848575 -0.884084) (xy -2.831184 -0.796536) (xy -2.849411 -0.706495) (xy -2.907023 -0.605022) (xy -3.007787 -0.483178) + (xy -3.025648 -0.463883) (xy -3.195583 -0.282222) (xy -2.822222 -0.282222) (xy -2.822222 -0.112889) (xy -3.160889 -0.112889) (xy -3.303632 -0.113322) (xy -3.399327 -0.115764) (xy -3.457370 -0.121925) + (xy -3.487156 -0.133515) (xy -3.498081 -0.152245) (xy -3.499555 -0.174552) (xy -3.478295 -0.225995) (xy -3.416505 -0.309558) (xy -3.317177 -0.421419) (xy -3.259667 -0.481363) (xy -3.166727 -0.579792) + (xy -3.090555 -0.667035) (xy -3.038968 -0.733672) (xy -3.019780 -0.770287) (xy -3.019778 -0.770461) (xy -3.042864 -0.838429) (xy -3.099791 -0.881461) (xy -3.172059 -0.895756) (xy -3.241169 -0.877510) + (xy -3.287526 -0.825500) (xy -3.327261 -0.777342) (xy -3.399057 -0.762087) (xy -3.407470 -0.762000) (xy -3.477426 -0.777188) (xy -3.500281 -0.820710) (xy -3.475839 -0.889505) (xy -3.420268 -0.962736) + (xy -3.324830 -1.033150) (xy -3.209403 -1.065331) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -1.529333 -1.066095) (xy -1.409115 -1.055984) (xy -1.304672 -1.041351) (xy -1.233274 -1.024818) (xy -1.219181 -1.019011) (xy -1.150263 -0.956205) (xy -1.097026 -0.861591) (xy -1.072775 -0.759754) + (xy -1.072444 -0.747889) (xy -1.087332 -0.662363) (xy -1.124515 -0.577078) (xy -1.172773 -0.514397) (xy -1.197553 -0.498520) (xy -1.215481 -0.481366) (xy -1.210702 -0.444733) (xy -1.180206 -0.378312) + (xy -1.142152 -0.308944) (xy -1.093797 -0.221899) (xy -1.058802 -0.156050) (xy -1.044287 -0.124821) (xy -1.044222 -0.124289) (xy -1.069284 -0.117136) (xy -1.131857 -0.113171) (xy -1.156705 -0.112889) + (xy -1.217938 -0.115575) (xy -1.259489 -0.131199) (xy -1.294620 -0.171105) (xy -1.336595 -0.246637) (xy -1.354667 -0.282222) (xy -1.405988 -0.376272) (xy -1.446812 -0.428417) (xy -1.487007 -0.449397) + (xy -1.510295 -0.451555) (xy -1.549176 -0.447918) (xy -1.570169 -0.428172) (xy -1.578761 -0.379068) (xy -1.580443 -0.287355) (xy -1.580444 -0.282222) (xy -1.580444 -0.112889) (xy -1.778000 -0.112889) + (xy -1.778000 -0.910325) (xy -1.580444 -0.910325) (xy -1.580444 -0.620889) (xy -1.474611 -0.621321) (xy -1.394291 -0.627879) (xy -1.335338 -0.643407) (xy -1.329972 -0.646333) (xy -1.301395 -0.692001) + (xy -1.291677 -0.762071) (xy -1.302889 -0.827056) (xy -1.315861 -0.847339) (xy -1.357326 -0.866832) (xy -1.431378 -0.885918) (xy -1.460500 -0.891162) (xy -1.580444 -0.910325) (xy -1.778000 -0.910325) + (xy -1.778000 -1.080273) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 0.358248 -1.062164) (xy 0.468081 -1.017957) (xy 0.553105 -0.938103) (xy 0.581158 -0.887125) (xy 0.601934 -0.798145) (xy 0.586484 -0.706337) (xy 0.531349 -0.603457) (xy 0.433069 -0.481261) + (xy 0.392333 -0.437146) (xy 0.245812 -0.282222) (xy 0.620889 -0.282222) (xy 0.620889 -0.112889) (xy -0.056444 -0.112889) (xy -0.056444 -0.183149) (xy -0.042114 -0.231491) (xy 0.004069 -0.297820) + (xy 0.086890 -0.388197) (xy 0.169333 -0.469116) (xy 0.275701 -0.574166) (xy 0.344584 -0.651690) (xy 0.382250 -0.709827) (xy 0.394964 -0.756714) (xy 0.395111 -0.762289) (xy 0.381097 -0.832245) + (xy 0.354331 -0.873600) (xy 0.284853 -0.899446) (xy 0.211071 -0.883088) (xy 0.157970 -0.830572) (xy 0.155586 -0.825500) (xy 0.115850 -0.777342) (xy 0.044054 -0.762087) (xy 0.035641 -0.762000) + (xy -0.034312 -0.777218) (xy -0.057205 -0.820772) (xy -0.032826 -0.889509) (xy 0.022082 -0.961885) (xy 0.121032 -1.036029) (xy 0.237826 -1.068821) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 3.799270 -1.028059) (xy 3.914030 -0.948321) (xy 3.986502 -0.844660) (xy 4.036004 -0.747889) (xy 3.935533 -0.739035) (xy 3.854984 -0.742642) (xy 3.798283 -0.779068) (xy 3.778150 -0.802535) + (xy 3.703153 -0.858121) (xy 3.608084 -0.877457) (xy 3.514798 -0.859379) (xy 3.458259 -0.819590) (xy 3.418075 -0.743313) (xy 3.395335 -0.636526) (xy 3.392796 -0.523216) (xy 3.413179 -0.427462) + (xy 3.475766 -0.338044) (xy 3.562797 -0.292537) (xy 3.660643 -0.293181) (xy 3.755674 -0.342214) (xy 3.781778 -0.366889) (xy 3.850122 -0.421856) (xy 3.919471 -0.450049) (xy 3.975807 -0.449268) + (xy 4.005110 -0.417311) (xy 4.006556 -0.402166) (xy 3.978248 -0.294659) (xy 3.903782 -0.206763) (xy 3.791837 -0.144739) (xy 3.651098 -0.114843) (xy 3.605470 -0.113075) (xy 3.506475 -0.116825) + (xy 3.438287 -0.134583) (xy 3.375463 -0.175689) (xy 3.331927 -0.213484) (xy 3.253358 -0.298361) (xy 3.208701 -0.390261) (xy 3.191154 -0.460428) (xy 3.177907 -0.635463) (xy 3.208711 -0.789143) + (xy 3.278659 -0.915013) (xy 3.382842 -1.006614) (xy 3.516351 -1.057488) (xy 3.649825 -1.063837) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 4.797778 -0.874889) (xy 4.374445 -0.874889) (xy 4.374445 -0.677333) (xy 4.769556 -0.677333) (xy 4.769556 -0.508000) (xy 4.374445 -0.508000) (xy 4.374445 -0.282222) (xy 4.797778 -0.282222) + (xy 4.797778 -0.112889) (xy 4.147302 -0.112889) (xy 4.162778 -1.058333) (xy 4.797778 -1.074293) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 5.348220 -1.050640) (xy 5.472013 -1.025248) (xy 5.559129 -0.978694) (xy 5.618541 -0.907510) (xy 5.630979 -0.883652) (xy 5.663292 -0.758636) (xy 5.644222 -0.639262) (xy 5.575807 -0.538033) + (xy 5.574355 -0.536660) (xy 5.505130 -0.471626) (xy 5.605438 -0.292257) (xy 5.705747 -0.112889) (xy 5.592339 -0.112889) (xy 5.530802 -0.115670) (xy 5.488217 -0.131390) (xy 5.451093 -0.171111) + (xy 5.405938 -0.245898) (xy 5.385841 -0.282222) (xy 5.327790 -0.378844) (xy 5.281418 -0.432033) (xy 5.238143 -0.451041) (xy 5.228708 -0.451555) (xy 5.193139 -0.447006) (xy 5.173928 -0.424581) + (xy 5.166098 -0.371121) (xy 5.164667 -0.282222) (xy 5.164667 -0.112889) (xy 5.065003 -0.112889) (xy 4.994260 -0.122302) (xy 4.953319 -0.145567) (xy 4.951348 -0.149351) (xy 4.946991 -0.189072) + (xy 4.944214 -0.274514) (xy 4.943157 -0.394971) (xy 4.943961 -0.539739) (xy 4.945178 -0.622074) (xy 4.950330 -0.909393) (xy 5.164667 -0.909393) (xy 5.164667 -0.620889) (xy 5.271911 -0.620889) + (xy 5.350929 -0.629313) (xy 5.407286 -0.650056) (xy 5.413022 -0.654755) (xy 5.442593 -0.716069) (xy 5.440940 -0.789096) (xy 5.411611 -0.840685) (xy 5.361683 -0.864932) (xy 5.284625 -0.886783) + (xy 5.270500 -0.889615) (xy 5.164667 -0.909393) (xy 4.950330 -0.909393) (xy 4.953000 -1.058333) (xy 5.178778 -1.058333) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 5.957187 -1.055092) (xy 5.994595 -1.023113) (xy 6.042960 -0.963731) (xy 6.109754 -0.869473) (xy 6.167579 -0.784505) (xy 6.364111 -0.493889) (xy 6.392333 -1.058333) (xy 6.589889 -1.058333) + (xy 6.605365 -0.112889) (xy 6.509856 -0.112889) (xy 6.467555 -0.115919) (xy 6.430785 -0.130193) (xy 6.391634 -0.163479) (xy 6.342194 -0.223551) (xy 6.274556 -0.318180) (xy 6.219896 -0.397781) + (xy 6.025445 -0.682673) (xy 6.009359 -0.112889) (xy 5.910683 -0.112889) (xy 5.840608 -0.122361) (xy 5.799925 -0.145663) (xy 5.798014 -0.149351) (xy 5.793657 -0.189072) (xy 5.790881 -0.274514) + (xy 5.789824 -0.394971) (xy 5.790627 -0.539739) (xy 5.791844 -0.622074) (xy 5.799667 -1.058333) (xy 5.885357 -1.066727) (xy 5.923265 -1.067140) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 7.806031 -1.065951) (xy 7.963271 -1.030353) (xy 8.083363 -0.952702) (xy 8.165025 -0.834533) (xy 8.206973 -0.677377) (xy 8.212667 -0.579944) (xy 8.198003 -0.426880) (xy 8.149279 -0.305777) + (xy 8.059399 -0.199007) (xy 8.059214 -0.198835) (xy 7.967104 -0.145683) (xy 7.844686 -0.117951) (xy 7.712640 -0.116845) (xy 7.591646 -0.143574) (xy 7.540469 -0.168554) (xy 7.448104 -0.257176) + (xy 7.382541 -0.380900) (xy 7.346692 -0.523691) (xy 7.345962 -0.556696) (xy 7.550892 -0.556696) (xy 7.574190 -0.442671) (xy 7.625928 -0.355325) (xy 7.699033 -0.301367) (xy 7.786434 -0.287505) + (xy 7.881062 -0.320449) (xy 7.921815 -0.350334) (xy 7.967066 -0.395901) (xy 7.990899 -0.445735) (xy 7.999931 -0.519635) (xy 8.001000 -0.587260) (xy 7.989106 -0.723297) (xy 7.950785 -0.812957) + (xy 7.882082 -0.861641) (xy 7.790353 -0.874889) (xy 7.681338 -0.858754) (xy 7.610032 -0.805258) (xy 7.567011 -0.706759) (xy 7.563104 -0.690689) (xy 7.550892 -0.556696) (xy 7.345962 -0.556696) + (xy 7.343467 -0.669514) (xy 7.375776 -0.802334) (xy 7.413347 -0.869004) (xy 7.527404 -0.987591) (xy 7.655895 -1.052677) (xy 7.802701 -1.066208) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 9.158111 -1.058333) (xy 9.173587 -0.112889) (xy 8.974667 -0.112889) (xy 8.974667 -0.508000) (xy 8.579556 -0.508000) (xy 8.579556 -0.112889) (xy 8.352413 -0.112889) (xy 8.367889 -1.058333) + (xy 8.565445 -1.058333) (xy 8.582135 -0.677333) (xy 8.974667 -0.677333) (xy 8.974667 -1.076021) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 9.532852 -0.670278) (xy 9.540704 -0.282222) (xy 9.934222 -0.282222) (xy 9.934222 -0.112889) (xy 9.637003 -0.112889) (xy 9.491088 -0.115107) (xy 9.394827 -0.122280) (xy 9.341585 -0.135186) + (xy 9.325792 -0.149351) (xy 9.321435 -0.189072) (xy 9.318658 -0.274514) (xy 9.317602 -0.394971) (xy 9.318405 -0.539739) (xy 9.319622 -0.622074) (xy 9.327445 -1.058333) (xy 9.525000 -1.058333) + )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 11.074059 -1.060789) (xy 11.161309 -1.027278) (xy 11.241786 -0.968536) (xy 11.299025 -0.899331) (xy 11.317111 -0.842818) (xy 11.294872 -0.803590) (xy 11.240109 -0.789358) (xy 11.170752 -0.799818) + (xy 11.104735 -0.834663) (xy 11.091333 -0.846666) (xy 11.017080 -0.892177) (xy 10.936675 -0.899601) (xy 10.871454 -0.867468) (xy 10.866681 -0.862133) (xy 10.838073 -0.806133) (xy 10.855344 -0.757085) + (xy 10.921910 -0.711211) (xy 11.041190 -0.664729) (xy 11.046832 -0.662892) (xy 11.176550 -0.613599) (xy 11.261292 -0.560665) (xy 11.312266 -0.495049) (xy 11.335645 -0.429841) (xy 11.333659 -0.335439) + (xy 11.291931 -0.239770) (xy 11.221658 -0.165891) (xy 11.201649 -0.153891) (xy 11.119250 -0.129250) (xy 11.006549 -0.116810) (xy 10.888529 -0.117396) (xy 10.790171 -0.131829) (xy 10.766778 -0.139569) + (xy 10.673798 -0.205510) (xy 10.609146 -0.306980) (xy 10.596584 -0.345722) (xy 10.588103 -0.397599) (xy 10.607514 -0.418998) (xy 10.668499 -0.423323) (xy 10.675806 -0.423333) (xy 10.759440 -0.409563) + (xy 10.815271 -0.360651) (xy 10.820627 -0.352778) (xy 10.879221 -0.300741) (xy 10.955179 -0.278751) (xy 11.031707 -0.285098) (xy 11.092010 -0.318073) (xy 11.119290 -0.375965) (xy 11.119556 -0.383207) + (xy 11.112883 -0.420135) (xy 11.085333 -0.448715) (xy 11.025606 -0.476193) (xy 10.922400 -0.509815) (xy 10.921850 -0.509982) (xy 10.773229 -0.566375) (xy 10.676441 -0.631676) (xy 10.627038 -0.710460) + (xy 10.620577 -0.807305) (xy 10.622165 -0.818435) (xy 10.665629 -0.926395) (xy 10.751244 -1.007781) (xy 10.868809 -1.057123) (xy 11.008125 -1.068949) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 12.160514 -1.064691) (xy 12.265152 -1.027098) (xy 12.350372 -0.960670) (xy 12.404535 -0.867339) (xy 12.417778 -0.782156) (xy 12.413497 -0.725429) (xy 12.395611 -0.674183) (xy 12.356556 -0.616148) + (xy 12.288768 -0.539052) (xy 12.229703 -0.477034) (xy 12.041629 -0.282222) (xy 12.446000 -0.282222) (xy 12.446000 -0.112889) (xy 11.768667 -0.112889) (xy 11.768667 -0.190493) (xy 11.778296 -0.235874) + (xy 11.811460 -0.290547) (xy 11.874579 -0.362714) (xy 11.974068 -0.460573) (xy 11.996787 -0.481974) (xy 12.098791 -0.579736) (xy 12.164762 -0.649796) (xy 12.201476 -0.701243) (xy 12.215705 -0.743167) + (xy 12.215510 -0.775468) (xy 12.193612 -0.843887) (xy 12.161090 -0.883254) (xy 12.092367 -0.899524) (xy 12.017586 -0.882098) (xy 11.962339 -0.838920) (xy 11.952090 -0.818379) (xy 11.921213 -0.776860) + (xy 11.854940 -0.762327) (xy 11.837320 -0.762000) (xy 11.772502 -0.763932) (xy 11.746598 -0.778897) (xy 11.753417 -0.820644) (xy 11.780555 -0.888032) (xy 11.846478 -0.985119) (xy 11.939538 -1.045636) + (xy 12.048096 -1.071515) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 12.744877 -0.304951) (xy 12.766547 -0.284663) (xy 12.805990 -0.232635) (xy 12.802393 -0.188201) (xy 12.795540 -0.175997) (xy 12.748328 -0.137519) (xy 12.681494 -0.116966) (xy 12.622258 -0.120925) + (xy 12.607222 -0.130408) (xy 12.586040 -0.185908) (xy 12.598530 -0.254000) (xy 12.638668 -0.307146) (xy 12.646994 -0.312284) (xy 12.698434 -0.328188) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 7.196667 -0.508000) (xy 6.741362 -0.508000) (xy 6.750292 -0.585611) (xy 6.757184 -0.624675) (xy 6.774865 -0.648336) (xy 6.815517 -0.661188) (xy 6.891324 -0.667825) (xy 6.977945 -0.671465) + (xy 7.196667 -0.679707) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 10.237611 -0.671465) (xy 10.347544 -0.666471) (xy 10.413243 -0.658688) (xy 10.446890 -0.643519) (xy 10.460667 -0.616372) (xy 10.465264 -0.585611) (xy 10.474194 -0.508000) (xy 10.018889 -0.508000) + (xy 10.018889 -0.679707) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -12.247798 -3.471333) (xy -11.940077 -3.146778) (xy -11.939039 -3.351389) (xy -11.938000 -3.556000) (xy -11.176000 -3.556000) (xy -11.176000 -3.217333) (xy -11.626122 -3.217333) (xy -11.633894 -2.778622) + (xy -11.641667 -2.339911) (xy -11.923889 -2.649354) (xy -12.024271 -2.758143) (xy -12.111711 -2.850507) (xy -12.179039 -2.919056) (xy -12.219085 -2.956401) (xy -12.226353 -2.961065) (xy -12.235190 -2.935706) + (xy -12.243619 -2.865228) (xy -12.250595 -2.760955) (xy -12.254576 -2.655234) (xy -12.262555 -2.347134) (xy -12.544778 -2.657178) (xy -12.827000 -2.967223) (xy -12.855222 -2.333529) (xy -13.157645 -2.655487) + (xy -13.460069 -2.977444) (xy -13.461034 -2.857500) (xy -13.462000 -2.737555) (xy -13.772444 -2.737555) (xy -13.771739 -3.266722) (xy -13.771033 -3.795889) (xy -13.653915 -3.668889) (xy -13.542450 -3.548916) + (xy -13.433816 -3.433587) (xy -13.334893 -3.330035) (xy -13.252564 -3.245397) (xy -13.193709 -3.186806) (xy -13.165212 -3.161398) (xy -13.163942 -3.160889) (xy -13.158991 -3.187193) (xy -13.153695 -3.258456) + (xy -13.148748 -3.363202) (xy -13.145424 -3.466644) (xy -13.137444 -3.772399) (xy -12.855222 -3.465728) (xy -12.573000 -3.159056) (xy -12.564259 -3.477472) (xy -12.555519 -3.795889) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -4.285797 -3.504382) (xy -4.275661 -3.499553) (xy -4.155156 -3.411621) (xy -4.074816 -3.286701) (xy -4.037898 -3.130511) (xy -4.035778 -3.078529) (xy -4.056879 -2.901558) (xy -4.119680 -2.761642) + (xy -4.223421 -2.659897) (xy -4.360333 -2.599307) (xy -4.435899 -2.579034) (xy -4.483226 -2.572590) (xy -4.528676 -2.581013) (xy -4.598610 -2.605342) (xy -4.609146 -2.609111) (xy -4.749888 -2.683046) + (xy -4.845819 -2.789710) (xy -4.898530 -2.931517) (xy -4.910667 -3.066405) (xy -4.909399 -3.075667) (xy -4.707348 -3.075667) (xy -4.692553 -2.961284) (xy -4.647653 -2.867365) (xy -4.579673 -2.801569) + (xy -4.495641 -2.771557) (xy -4.402583 -2.784988) (xy -4.336966 -2.823445) (xy -4.270207 -2.909869) (xy -4.240467 -3.027042) (xy -4.250785 -3.160644) (xy -4.258899 -3.191373) (xy -4.307867 -3.291933) + (xy -4.384242 -3.345504) (xy -4.473222 -3.358444) (xy -4.579052 -3.338747) (xy -4.650822 -3.276068) (xy -4.685010 -3.202854) (xy -4.707348 -3.075667) (xy -4.909399 -3.075667) (xy -4.888236 -3.230199) + (xy -4.824187 -3.367441) (xy -4.723386 -3.469249) (xy -4.674282 -3.497859) (xy -4.539791 -3.546089) (xy -4.417164 -3.548234) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 1.591783 -3.544211) (xy 1.599604 -3.542804) (xy 1.687060 -3.509194) (xy 1.774267 -3.448644) (xy 1.845908 -3.375151) (xy 1.886663 -3.302715) (xy 1.890889 -3.276600) (xy 1.869654 -3.230656) + (xy 1.817015 -3.213595) (xy 1.749571 -3.224602) (xy 1.683920 -3.262868) (xy 1.660069 -3.287889) (xy 1.581842 -3.346678) (xy 1.486001 -3.362486) (xy 1.391056 -3.335704) (xy 1.325736 -3.280833) + (xy 1.285073 -3.193750) (xy 1.270126 -3.082652) (xy 1.279708 -2.967807) (xy 1.312632 -2.869482) (xy 1.352842 -2.818024) (xy 1.450717 -2.769955) (xy 1.551367 -2.775686) (xy 1.644431 -2.834124) + (xy 1.659831 -2.850444) (xy 1.746225 -2.919438) (xy 1.812507 -2.935111) (xy 1.873274 -2.920773) (xy 1.889692 -2.876052) (xy 1.862252 -2.798384) (xy 1.844730 -2.766902) (xy 1.756967 -2.670421) + (xy 1.635652 -2.607764) (xy 1.496314 -2.584874) (xy 1.397000 -2.595549) (xy 1.253370 -2.652354) (xy 1.148478 -2.749242) (xy 1.080937 -2.887799) (xy 1.059374 -2.981321) (xy 1.056333 -3.147272) + (xy 1.099141 -3.293866) (xy 1.180943 -3.413981) (xy 1.294887 -3.500492) (xy 1.434118 -3.546276) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 7.038781 -3.541639) (xy 7.167868 -3.491785) (xy 7.265474 -3.403827) (xy 7.280610 -3.381309) (xy 7.325813 -3.295664) (xy 7.331957 -3.244752) (xy 7.297690 -3.221302) (xy 7.250117 -3.217333) + (xy 7.157649 -3.241507) (xy 7.106958 -3.287889) (xy 7.029061 -3.346156) (xy 6.933166 -3.362353) (xy 6.838656 -3.336702) (xy 6.775382 -3.284083) (xy 6.729068 -3.188144) (xy 6.712705 -3.070777) + (xy 6.725781 -2.953262) (xy 6.767786 -2.856875) (xy 6.786162 -2.835050) (xy 6.879185 -2.774956) (xy 6.977570 -2.768973) (xy 7.072177 -2.816661) (xy 7.106720 -2.850444) (xy 7.175472 -2.908287) + (xy 7.245601 -2.936088) (xy 7.303433 -2.932373) (xy 7.335292 -2.895668) (xy 7.337778 -2.875346) (xy 7.316532 -2.817482) (xy 7.263037 -2.745174) (xy 7.192651 -2.673910) (xy 7.120735 -2.619179) + (xy 7.062650 -2.596469) (xy 7.061184 -2.596444) (xy 6.994343 -2.591117) (xy 6.966142 -2.585276) (xy 6.913869 -2.583644) (xy 6.839715 -2.595168) (xy 6.837540 -2.595678) (xy 6.693666 -2.652655) + (xy 6.591319 -2.746430) (xy 6.529369 -2.878600) (xy 6.506685 -3.050756) (xy 6.506549 -3.062111) (xy 6.527317 -3.234717) (xy 6.589671 -3.374132) (xy 6.690227 -3.476078) (xy 6.825602 -3.536281) + (xy 6.890905 -3.547963) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -10.323607 -3.513666) (xy -10.206526 -3.224715) (xy -10.157896 -3.108527) (xy -10.115630 -3.014592) (xy -10.084705 -2.953540) (xy -10.071039 -2.935437) (xy -10.049674 -2.958675) (xy -10.025492 -3.012722) + (xy -10.001888 -3.075246) (xy -9.963660 -3.171376) (xy -9.918146 -3.282722) (xy -9.907209 -3.309055) (xy -9.816066 -3.527778) (xy -9.567333 -3.527778) (xy -9.567333 -2.596444) (xy -9.762914 -2.596444) + (xy -9.779000 -3.175000) (xy -9.891889 -2.884653) (xy -9.942346 -2.757419) (xy -9.979151 -2.674337) (xy -10.008057 -2.626558) (xy -10.034818 -2.605230) (xy -10.065187 -2.601502) (xy -10.075333 -2.602431) + (xy -10.108948 -2.612284) (xy -10.139330 -2.639358) (xy -10.172124 -2.692745) (xy -10.212975 -2.781536) (xy -10.264359 -2.906889) (xy -10.382828 -3.203222) (xy -10.385778 -2.596444) (xy -10.583333 -2.596444) + (xy -10.583333 -3.530870) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -9.265082 -3.524388) (xy -9.228630 -3.509082) (xy -9.188920 -3.474150) (xy -9.138245 -3.411886) (xy -9.068898 -3.314581) (xy -9.016708 -3.238500) (xy -8.819444 -2.949222) (xy -8.811402 -3.238500) + (xy -8.803359 -3.527778) (xy -8.607778 -3.527778) (xy -8.607778 -2.596444) (xy -8.701429 -2.596444) (xy -8.742842 -2.599611) (xy -8.779218 -2.614274) (xy -8.818298 -2.648173) (xy -8.867824 -2.709050) + (xy -8.935536 -2.804646) (xy -8.990706 -2.885722) (xy -9.186333 -3.175000) (xy -9.202419 -2.596444) (xy -9.398000 -2.596444) (xy -9.398000 -3.527778) (xy -9.305985 -3.527778) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -7.709848 -3.450166) (xy -7.720631 -3.402685) (xy -7.749262 -3.378160) (xy -7.811621 -3.367019) (xy -7.852833 -3.363954) (xy -7.986889 -3.355352) (xy -7.986889 -2.596444) (xy -8.212667 -2.596444) + (xy -8.212667 -3.355352) (xy -8.346722 -3.363954) (xy -8.428499 -3.372281) (xy -8.469710 -3.389867) (xy -8.486234 -3.426288) (xy -8.489708 -3.450166) (xy -8.498638 -3.527778) (xy -7.700917 -3.527778) + )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -6.859078 -3.517126) (xy -6.726466 -3.483331) (xy -6.637926 -3.423636) (xy -6.589174 -3.335281) (xy -6.575778 -3.228715) (xy -6.591162 -3.143179) (xy -6.629561 -3.058063) (xy -6.679344 -2.995949) + (xy -6.703279 -2.981157) (xy -6.722647 -2.965272) (xy -6.721052 -2.932728) (xy -6.695460 -2.872991) (xy -6.645449 -2.780182) (xy -6.542698 -2.596444) (xy -6.656360 -2.596444) (xy -6.718071 -2.599151) + (xy -6.760383 -2.614715) (xy -6.796741 -2.654301) (xy -6.840590 -2.729074) (xy -6.860277 -2.765778) (xy -6.915496 -2.861079) (xy -6.959485 -2.913791) (xy -7.001511 -2.933915) (xy -7.017154 -2.935111) + (xy -7.054119 -2.930979) (xy -7.074080 -2.909780) (xy -7.082234 -2.858306) (xy -7.083778 -2.765778) (xy -7.083778 -2.596444) (xy -7.281333 -2.596444) (xy -7.281333 -3.231444) (xy -7.083778 -3.231444) + (xy -7.081618 -3.153407) (xy -7.067360 -3.116454) (xy -7.029338 -3.105224) (xy -6.987308 -3.104444) (xy -6.907412 -3.109314) (xy -6.847524 -3.121081) (xy -6.846197 -3.121575) (xy -6.811430 -3.163564) + (xy -6.801555 -3.231444) (xy -6.811698 -3.301012) (xy -6.849386 -3.340228) (xy -6.925511 -3.356539) (xy -6.987308 -3.358444) (xy -7.046585 -3.355601) (xy -7.074655 -3.336831) (xy -7.083185 -3.286776) + (xy -7.083778 -3.231444) (xy -7.281333 -3.231444) (xy -7.281333 -3.527778) (xy -7.040043 -3.527778) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -5.813778 -3.358444) (xy -6.237111 -3.358444) (xy -6.237111 -3.160889) (xy -5.842000 -3.160889) (xy -5.842000 -2.991555) (xy -6.237111 -2.991555) (xy -6.237111 -2.765778) (xy -5.813778 -2.765778) + (xy -5.813778 -2.596444) (xy -6.434667 -2.596444) (xy -6.434667 -3.527778) (xy -5.813778 -3.527778) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -5.023555 -3.358444) (xy -5.446889 -3.358444) (xy -5.446889 -3.160889) (xy -5.080000 -3.160889) (xy -5.080000 -2.991555) (xy -5.446889 -2.991555) (xy -5.446889 -2.596444) (xy -5.644444 -2.596444) + (xy -5.644444 -3.527778) (xy -5.023555 -3.527778) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -3.498069 -3.526917) (xy -3.414245 -3.522159) (xy -3.358965 -3.510243) (xy -3.318280 -3.487907) (xy -3.278242 -3.451889) (xy -3.271607 -3.445282) (xy -3.205031 -3.341963) (xy -3.184911 -3.222085) + (xy -3.211726 -3.101832) (xy -3.259160 -3.025526) (xy -3.329210 -2.942277) (xy -3.245560 -2.790527) (xy -3.201331 -2.707220) (xy -3.170816 -2.643885) (xy -3.161400 -2.617611) (xy -3.185993 -2.604024) + (xy -3.247616 -2.596858) (xy -3.266722 -2.596525) (xy -3.325873 -2.600017) (xy -3.367020 -2.618089) (xy -3.403735 -2.662305) (xy -3.449592 -2.744226) (xy -3.457222 -2.758803) (xy -3.509384 -2.850599) + (xy -3.551355 -2.900613) (xy -3.593470 -2.919644) (xy -3.612444 -2.921000) (xy -3.652451 -2.916712) (xy -3.674701 -2.894858) (xy -3.685760 -2.841956) (xy -3.691464 -2.758722) (xy -3.699928 -2.596444) + (xy -3.894667 -2.596444) (xy -3.894667 -3.104444) (xy -3.697111 -3.104444) (xy -3.575755 -3.104444) (xy -3.492593 -3.111893) (xy -3.431588 -3.130519) (xy -3.420533 -3.138311) (xy -3.392258 -3.193332) + (xy -3.386667 -3.231444) (xy -3.405418 -3.303579) (xy -3.465009 -3.344785) (xy -3.570447 -3.358424) (xy -3.575755 -3.358444) (xy -3.697111 -3.358444) (xy -3.697111 -3.104444) (xy -3.894667 -3.104444) + (xy -3.894667 -3.527778) (xy -3.624385 -3.527778) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -2.914015 -3.522268) (xy -2.780029 -3.513666) (xy -2.530619 -2.902260) (xy -2.402764 -3.215019) (xy -2.274908 -3.527778) (xy -2.003778 -3.527778) (xy -2.003778 -2.596444) (xy -2.227512 -2.596444) + (xy -2.235589 -2.870771) (xy -2.243667 -3.145098) (xy -2.352695 -2.869205) (xy -2.402499 -2.745867) (xy -2.438755 -2.666430) (xy -2.467539 -2.621872) (xy -2.494928 -2.603171) (xy -2.526996 -2.601305) + (xy -2.532701 -2.601934) (xy -2.566447 -2.611896) (xy -2.596670 -2.638808) (xy -2.629010 -2.691836) (xy -2.669106 -2.780143) (xy -2.720006 -2.906299) (xy -2.836333 -3.202042) (xy -2.844344 -2.899243) + (xy -2.852354 -2.596444) (xy -3.048000 -2.596444) (xy -3.048000 -3.530870) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -0.818444 -3.443111) (xy -0.821527 -3.392990) (xy -0.840483 -3.367997) (xy -0.889871 -3.359395) (xy -0.959555 -3.358444) (xy -1.100667 -3.358444) (xy -1.100667 -2.596444) (xy -1.298222 -2.596444) + (xy -1.298222 -3.355495) (xy -1.446389 -3.364025) (xy -1.533232 -3.371403) (xy -1.578690 -3.386080) (xy -1.597816 -3.416309) (xy -1.603486 -3.450166) (xy -1.612416 -3.527778) (xy -0.818444 -3.527778) + )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -0.310125 -3.525916) (xy -0.221404 -3.518738) (xy -0.161126 -3.503859) (xy -0.115327 -3.478890) (xy -0.103556 -3.470049) (xy -0.025265 -3.375992) (xy 0.007310 -3.261741) (xy -0.006320 -3.140874) + (xy -0.066642 -3.026967) (xy -0.086798 -3.003580) (xy -0.115638 -2.969463) (xy -0.124351 -2.938034) (xy -0.110717 -2.893420) (xy -0.072519 -2.819751) (xy -0.057207 -2.791913) (xy -0.012759 -2.708229) + (xy 0.018014 -2.644487) (xy 0.027711 -2.617611) (xy 0.003118 -2.604024) (xy -0.058505 -2.596858) (xy -0.077611 -2.596525) (xy -0.136762 -2.600017) (xy -0.177909 -2.618089) (xy -0.214624 -2.662305) + (xy -0.260481 -2.744226) (xy -0.268111 -2.758803) (xy -0.330146 -2.862478) (xy -0.384043 -2.917522) (xy -0.416278 -2.930018) (xy -0.451305 -2.930997) (xy -0.470333 -2.912996) (xy -0.478208 -2.863627) + (xy -0.479777 -2.770502) (xy -0.479778 -2.767740) (xy -0.479778 -2.596444) (xy -0.705555 -2.596444) (xy -0.705555 -3.104444) (xy -0.479778 -3.104444) (xy -0.372533 -3.104444) (xy -0.293516 -3.112869) + (xy -0.237158 -3.133612) (xy -0.231422 -3.138311) (xy -0.203147 -3.193332) (xy -0.197555 -3.231444) (xy -0.218011 -3.305960) (xy -0.281363 -3.347479) (xy -0.372533 -3.358444) (xy -0.479778 -3.358444) + (xy -0.479778 -3.104444) (xy -0.705555 -3.104444) (xy -0.705555 -3.527778) (xy -0.441250 -3.527778) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 0.850880 -3.061471) (xy 1.010316 -2.593477) (xy 0.894119 -2.602016) (xy 0.817631 -2.612703) (xy 0.777485 -2.639292) (xy 0.753606 -2.695922) (xy 0.751767 -2.702278) (xy 0.735002 -2.752428) + (xy 0.711051 -2.779855) (xy 0.664856 -2.791415) (xy 0.581357 -2.793966) (xy 0.550333 -2.794000) (xy 0.454129 -2.792710) (xy 0.399311 -2.784270) (xy 0.370821 -2.761822) (xy 0.353601 -2.718510) + (xy 0.348910 -2.702278) (xy 0.323204 -2.639717) (xy 0.280241 -2.611236) (xy 0.217827 -2.601796) (xy 0.142132 -2.603115) (xy 0.114645 -2.624895) (xy 0.114378 -2.630018) (xy 0.123888 -2.668210) + (xy 0.149170 -2.749561) (xy 0.186963 -2.864091) (xy 0.234002 -3.001823) (xy 0.235739 -3.006797) (xy 0.458041 -3.006797) (xy 0.470566 -2.975301) (xy 0.510248 -2.964416) (xy 0.550333 -2.963333) + (xy 0.623683 -2.973171) (xy 0.649111 -3.000639) (xy 0.640463 -3.047483) (xy 0.618396 -3.125735) (xy 0.601942 -3.176380) (xy 0.554772 -3.314815) (xy 0.505351 -3.174352) (xy 0.470395 -3.069587) + (xy 0.458041 -3.006797) (xy 0.235739 -3.006797) (xy 0.264914 -3.090333) (xy 0.413960 -3.513666) (xy 0.552702 -3.521566) (xy 0.691445 -3.529465) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 2.271889 -3.146778) (xy 2.425120 -3.337278) (xy 2.500812 -3.429419) (xy 2.554660 -3.485756) (xy 2.599433 -3.515071) (xy 2.647901 -3.526146) (xy 2.702099 -3.527778) (xy 2.825848 -3.527778) + (xy 2.486642 -3.112658) (xy 2.654432 -2.871509) (xy 2.723923 -2.770103) (xy 2.779379 -2.686264) (xy 2.814004 -2.630444) (xy 2.822222 -2.613402) (xy 2.797177 -2.602738) (xy 2.734683 -2.596850) + (xy 2.710385 -2.596444) (xy 2.650986 -2.599580) (xy 2.606737 -2.615705) (xy 2.564493 -2.654897) (xy 2.511104 -2.727230) (xy 2.480238 -2.772833) (xy 2.422265 -2.858965) (xy 2.377388 -2.925077) + (xy 2.354055 -2.958735) (xy 2.352868 -2.960299) (xy 2.327233 -2.954203) (xy 2.300793 -2.935677) (xy 2.269669 -2.875976) (xy 2.257900 -2.764133) (xy 2.257778 -2.748211) (xy 2.257778 -2.596444) + (xy 2.032000 -2.596444) (xy 2.032000 -3.527778) (xy 2.255199 -3.527778) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 3.330248 -3.525951) (xy 3.418747 -3.518854) (xy 3.478953 -3.504062) (xy 3.524906 -3.479150) (xy 3.538083 -3.469285) (xy 3.592299 -3.409332) (xy 3.611653 -3.330596) (xy 3.612445 -3.302000) + (xy 3.600615 -3.213376) (xy 3.557203 -3.150912) (xy 3.538083 -3.134715) (xy 3.494359 -3.093575) (xy 3.499696 -3.076818) (xy 3.507812 -3.076222) (xy 3.558542 -3.053081) (xy 3.612852 -2.997023) + (xy 3.654817 -2.928100) (xy 3.668889 -2.873459) (xy 3.645934 -2.777907) (xy 3.588047 -2.687753) (xy 3.514455 -2.630161) (xy 3.452941 -2.614820) (xy 3.353058 -2.603132) (xy 3.232851 -2.596958) + (xy 3.187783 -2.596444) (xy 2.935111 -2.596444) (xy 2.935111 -2.765778) (xy 3.129421 -2.765778) (xy 3.252400 -2.765778) (xy 3.336032 -2.773128) (xy 3.397556 -2.791539) (xy 3.409245 -2.799644) + (xy 3.445119 -2.863772) (xy 3.431846 -2.924557) (xy 3.376432 -2.972951) (xy 3.285884 -2.999911) (xy 3.252097 -3.002493) (xy 3.185918 -3.001579) (xy 3.154176 -2.983288) (xy 3.141904 -2.932286) + (xy 3.138100 -2.885722) (xy 3.129421 -2.765778) (xy 2.935111 -2.765778) (xy 2.935111 -3.157796) (xy 3.132667 -3.157796) (xy 3.266722 -3.166398) (xy 3.347644 -3.174063) (xy 3.387027 -3.190138) + (xy 3.399750 -3.224778) (xy 3.400778 -3.257872) (xy 3.391966 -3.317368) (xy 3.354466 -3.349724) (xy 3.305614 -3.365405) (xy 3.211637 -3.380167) (xy 3.157523 -3.360512) (xy 3.134854 -3.301262) + (xy 3.132667 -3.259006) (xy 3.132667 -3.157796) (xy 2.935111 -3.157796) (xy 2.935111 -3.527778) (xy 3.199416 -3.527778) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 4.189629 -3.521655) (xy 4.329370 -3.513666) (xy 4.477381 -3.090333) (xy 4.528028 -2.943505) (xy 4.571411 -2.813981) (xy 4.604284 -2.711753) (xy 4.623403 -2.646811) (xy 4.626918 -2.630018) + (xy 4.606302 -2.605052) (xy 4.538228 -2.600736) (xy 4.523507 -2.601796) (xy 4.450692 -2.614756) (xy 4.412624 -2.648390) (xy 4.392424 -2.702278) (xy 4.375664 -2.752428) (xy 4.351718 -2.779855) + (xy 4.305525 -2.791415) (xy 4.222030 -2.793966) (xy 4.191000 -2.794000) (xy 4.094796 -2.792710) (xy 4.039977 -2.784269) (xy 4.011484 -2.761821) (xy 3.994258 -2.718507) (xy 3.989566 -2.702278) + (xy 3.965936 -2.642327) (xy 3.927459 -2.613847) (xy 3.853919 -2.602492) (xy 3.848365 -2.602072) (xy 3.733318 -2.593589) (xy 3.873253 -3.007357) (xy 4.095785 -3.007357) (xy 4.111529 -2.975319) + (xy 4.155693 -2.964269) (xy 4.191000 -2.963333) (xy 4.261999 -2.972457) (xy 4.287627 -2.997545) (xy 4.287591 -2.998611) (xy 4.277460 -3.043975) (xy 4.253955 -3.121305) (xy 4.235982 -3.174352) + (xy 4.186561 -3.314815) (xy 4.139392 -3.176380) (xy 4.105921 -3.070879) (xy 4.095785 -3.007357) (xy 3.873253 -3.007357) (xy 3.891604 -3.061617) (xy 4.049889 -3.529644) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 4.967111 -2.765778) (xy 5.362222 -2.765778) (xy 5.362222 -2.596444) (xy 4.741333 -2.596444) (xy 4.741333 -3.527778) (xy 4.967111 -3.527778) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 5.700889 -2.765778) (xy 6.096000 -2.765778) (xy 6.096000 -2.596444) (xy 5.475111 -2.596444) (xy 5.475111 -3.527778) (xy 5.700889 -3.527778) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 8.235708 -3.450166) (xy 8.225920 -3.404559) (xy 8.200087 -3.380144) (xy 8.143159 -3.368670) (xy 8.078611 -3.364025) (xy 7.930445 -3.355495) (xy 7.930445 -2.596444) (xy 7.732889 -2.596444) + (xy 7.732889 -3.358444) (xy 7.591778 -3.358444) (xy 7.508244 -3.360294) (xy 7.466588 -3.371667) (xy 7.452251 -3.401300) (xy 7.450667 -3.443111) (xy 7.450667 -3.527778) (xy 8.244638 -3.527778) + )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 8.748800 -3.526342) (xy 8.834942 -3.520250) (xy 8.892809 -3.506823) (xy 8.936468 -3.483383) (xy 8.962606 -3.462617) (xy 9.014534 -3.402432) (xy 9.042629 -3.322362) (xy 9.052235 -3.253056) + (xy 9.057124 -3.162309) (xy 9.045266 -3.103560) (xy 9.009912 -3.053258) (xy 8.988644 -3.031189) (xy 8.911177 -2.953723) (xy 8.991708 -2.796250) (xy 9.035434 -2.710509) (xy 9.068487 -2.645256) + (xy 9.082249 -2.617611) (xy 9.063933 -2.604384) (xy 9.006008 -2.597000) (xy 8.980951 -2.596444) (xy 8.920356 -2.599311) (xy 8.878477 -2.615366) (xy 8.842004 -2.655790) (xy 8.797626 -2.731766) + (xy 8.779388 -2.765778) (xy 8.724881 -2.860262) (xy 8.681694 -2.912692) (xy 8.640120 -2.933393) (xy 8.620234 -2.935111) (xy 8.582033 -2.931308) (xy 8.561407 -2.911073) (xy 8.552969 -2.861170) + (xy 8.551334 -2.768361) (xy 8.551333 -2.765778) (xy 8.551333 -2.596444) (xy 8.353778 -2.596444) (xy 8.353778 -3.104444) (xy 8.551333 -3.104444) (xy 8.678938 -3.104444) (xy 8.762763 -3.109520) + (xy 8.809574 -3.130096) (xy 8.837064 -3.171430) (xy 8.854842 -3.256708) (xy 8.823008 -3.318366) (xy 8.744794 -3.352620) (xy 8.677340 -3.358444) (xy 8.551333 -3.358444) (xy 8.551333 -3.104444) + (xy 8.353778 -3.104444) (xy 8.353778 -3.527778) (xy 8.620315 -3.527778) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy 9.398000 -2.765778) (xy 9.793111 -2.765778) (xy 9.793111 -2.596444) (xy 9.200445 -2.596444) (xy 9.200445 -3.527778) (xy 9.398000 -3.527778) )(layer F.SilkS) (width 0.000000) + ) + (fp_poly (pts (xy -12.010170 2.041228) (xy -11.997775 2.078389) (xy -11.999537 2.095212) (xy -12.026247 2.148176) (xy -12.073366 2.170852) (xy -12.115765 2.155272) (xy -12.132788 2.112817) (xy -12.135555 2.083741) + (xy -12.116722 2.042818) (xy -12.063037 2.032000) )(layer F.SilkS) (width 0.000000) + ) +) diff --git a/reform2-trackball2-pcb/lib/MCU_RaspberryPi_and_Boards.lib b/reform2-trackball2-pcb/lib/MCU_RaspberryPi_and_Boards.lib @@ -0,0 +1,131 @@ +EESchema-LIBRARY Version 2.4 +#encoding utf-8 +# +# Pico +# +DEF Pico U 0 40 Y Y 1 F N +F0 "U" -550 1100 50 H V C CNN +F1 "Pico" 0 750 50 H V C CNN +F2 "RPi_Pico:RPi_Pico_SMD_TH" 0 0 50 V I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +T 0 0 850 50 0 0 0 "Raspberry Pi" Normal 0 C C +S -600 1050 600 -1050 0 1 0 f +X GPIO0 1 -700 950 100 R 50 50 1 1 B +X GPIO7 10 -700 50 100 R 50 50 1 1 B +X GPIO8 11 -700 -50 100 R 50 50 1 1 B +X GPIO9 12 -700 -150 100 R 50 50 1 1 B +X GND 13 -700 -250 100 R 50 50 1 1 W +X GPIO10 14 -700 -350 100 R 50 50 1 1 B +X GPIO11 15 -700 -450 100 R 50 50 1 1 B +X GPIO12 16 -700 -550 100 R 50 50 1 1 B +X GPIO13 17 -700 -650 100 R 50 50 1 1 B +X GND 18 -700 -750 100 R 50 50 1 1 W +X GPIO14 19 -700 -850 100 R 50 50 1 1 B +X GPIO1 2 -700 850 100 R 50 50 1 1 B +X GPIO15 20 -700 -950 100 R 50 50 1 1 B +X GPIO16 21 700 -950 100 L 50 50 1 1 B +X GPIO17 22 700 -850 100 L 50 50 1 1 B +X GND 23 700 -750 100 L 50 50 1 1 W +X GPIO18 24 700 -650 100 L 50 50 1 1 B +X GPIO19 25 700 -550 100 L 50 50 1 1 B +X GPIO20 26 700 -450 100 L 50 50 1 1 B +X GPIO21 27 700 -350 100 L 50 50 1 1 B +X GND 28 700 -250 100 L 50 50 1 1 W +X GPIO22 29 700 -150 100 L 50 50 1 1 B +X GND 3 -700 750 100 R 50 50 1 1 W +X RUN 30 700 -50 100 L 50 50 1 1 I +X GPIO26_ADC0 31 700 50 100 L 50 50 1 1 B +X GPIO27_ADC1 32 700 150 100 L 50 50 1 1 B +X AGND 33 700 250 100 L 50 50 1 1 W +X GPIO28_ADC2 34 700 350 100 L 50 50 1 1 B +X ADC_VREF 35 700 450 100 L 50 50 1 1 U +X 3V3 36 700 550 100 L 50 50 1 1 U +X 3V3_EN 37 700 650 100 L 50 50 1 1 I +X GND 38 700 750 100 L 50 50 1 1 B +X VSYS 39 700 850 100 L 50 50 1 1 U +X GPIO2 4 -700 650 100 R 50 50 1 1 B +X VBUS 40 700 950 100 L 50 50 1 1 U +X SWCLK 41 -100 -1150 100 U 50 50 1 1 I +X GND 42 0 -1150 100 U 50 50 1 1 W +X SWDIO 43 100 -1150 100 U 50 50 1 1 B +X GPIO3 5 -700 550 100 R 50 50 1 1 B +X GPIO4 6 -700 450 100 R 50 50 1 1 B +X GPIO5 7 -700 350 100 R 50 50 1 1 B +X GND 8 -700 250 100 R 50 50 1 1 W +X GPIO6 9 -700 150 100 R 50 50 1 1 B +ENDDRAW +ENDDEF +# +# RP2040 +# +DEF RP2040 U 0 40 Y Y 1 F N +F0 "U" -1150 1950 50 H V C CNN +F1 "RP2040" 950 -1950 50 H V C CNN +F2 "RP2040_minimal:RP2040-QFN-56" -750 0 50 H I C CNN +F3 "" -750 0 50 H I C CNN +DRAW +T 0 0 200 100 0 0 0 "Raspberry Pi" Normal 0 C C +T 0 0 0 100 0 0 0 RP2040 Normal 0 C C +S 1150 1900 -1150 -1900 0 1 10 f +X IOVDD 1 350 2000 100 D 50 50 1 1 W +X IOVDD 10 250 2000 100 D 50 50 1 1 W +X GPIO8 11 1250 500 100 L 50 50 1 1 B +X GPIO9 12 1250 400 100 L 50 50 1 1 B +X GPIO10 13 1250 300 100 L 50 50 1 1 B +X GPIO11 14 1250 200 100 L 50 50 1 1 B +X GPIO12 15 1250 100 100 L 50 50 1 1 B +X GPIO13 16 1250 0 100 L 50 50 1 1 B +X GPIO14 17 1250 -100 100 L 50 50 1 1 B +X GPIO15 18 1250 -200 100 L 50 50 1 1 B +X TESTEN 19 -500 -2000 100 U 50 50 1 1 P +X GPIO0 2 1250 1300 100 L 50 50 1 1 B +X XIN 20 -1250 -100 100 R 50 50 1 1 I +X XOUT 21 -1250 -300 100 R 50 50 1 1 P +X IOVDD 22 150 2000 100 D 50 50 1 1 W +X DVDD 23 -700 2000 100 D 50 50 1 1 W +X SWCLK 24 -1250 -1250 100 R 50 50 1 1 O +X SWD 25 -1250 -1350 100 R 50 50 1 1 B +X RUN 26 -1250 -800 100 R 50 50 1 1 I +X GPIO16 27 1250 -300 100 L 50 50 1 1 B +X GPIO17 28 1250 -400 100 L 50 50 1 1 B +X GPIO18 29 1250 -500 100 L 50 50 1 1 B +X GPIO1 3 1250 1200 100 L 50 50 1 1 B +X GPIO19 30 1250 -600 100 L 50 50 1 1 B +X GPIO20 31 1250 -700 100 L 50 50 1 1 B +X GPIO21 32 1250 -800 100 L 50 50 1 1 B +X IOVDD 33 50 2000 100 D 50 50 1 1 W +X GPIO22 34 1250 -900 100 L 50 50 1 1 B +X GPIO23 35 1250 -1000 100 L 50 50 1 1 B +X GPIO24 36 1250 -1100 100 L 50 50 1 1 B +X GPIO25 37 1250 -1200 100 L 50 50 1 1 B +X GPIO26_ADC0 38 1250 -1400 100 L 50 50 1 1 B +X GPIO27_ADC1 39 1250 -1500 100 L 50 50 1 1 B +X GPIO2 4 1250 1100 100 L 50 50 1 1 B +X GPIO28_ADC2 40 1250 -1600 100 L 50 50 1 1 B +X GPIO29_ADC3 41 1250 -1700 100 L 50 50 1 1 B +X IOVDD 42 -50 2000 100 D 50 50 1 1 W +X ADC_AVDD 43 650 2000 100 D 50 50 1 1 W +X VREG_IN 44 -350 2000 100 D 50 50 1 1 W +X VREG_VOUT 45 -500 2000 100 D 50 50 1 1 w +X USB_DM 46 1250 1600 100 L 50 50 1 1 B +X USB_DP 47 1250 1700 100 L 50 50 1 1 B +X USB_VDD 48 500 2000 100 D 50 50 1 1 W +X IOVDD 49 -150 2000 100 D 50 50 1 1 W +X GPIO3 5 1250 1000 100 L 50 50 1 1 B +X DVDD 50 -800 2000 100 D 50 50 1 1 W +X QSPI_SD3 51 -1250 800 100 R 50 50 1 1 B +X QSPI_SCLK 52 -1250 650 100 R 50 50 1 1 O +X QSPI_SD0 53 -1250 1100 100 R 50 50 1 1 B +X QSPI_SD2 54 -1250 900 100 R 50 50 1 1 B +X QSPI_SD1 55 -1250 1000 100 R 50 50 1 1 B +X QSPI_SS 56 -1250 1250 100 R 50 50 1 1 B +X GND 57 0 -2000 100 U 50 50 1 1 W +X GPIO4 6 1250 900 100 L 50 50 1 1 B +X GPIO5 7 1250 800 100 L 50 50 1 1 B +X GPIO6 8 1250 700 100 L 50 50 1 1 B +X GPIO7 9 1250 600 100 L 50 50 1 1 B +ENDDRAW +ENDDEF +# +#End Library diff --git a/reform2-trackball2-pcb/lib/pocket-reform-keyboard.kicad_sym b/reform2-trackball2-pcb/lib/pocket-reform-keyboard.kicad_sym @@ -0,0 +1,115 @@ +(kicad_symbol_lib (version 20211014) (generator kicad_symbol_editor) + (symbol "SK6805-EC15" (pin_names (offset 0.254)) (in_bom yes) (on_board yes) + (property "Reference" "D61" (id 0) (at 2.54 6.8707 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SK6805-EC15" (id 1) (at 5.08 -7.0993 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "footprints:SK6805-EC15" (id 2) (at 1.27 -7.62 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) + ) + (property "Datasheet" "https://www.exp-tech.de/media/pdf/SK6805-EC15-REV-05-EN.pdf" (id 3) (at 2.54 -9.525 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) + ) + (property "ki_keywords" "RGB LED NeoPixel Nano addressable" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "RGB LED with integrated controller" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "LED*SK6805*PLCC*2.4x2.7mm*P1.3mm*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SK6805-EC15_0_0" + (text "RGB" (at 2.286 -4.191 0) + (effects (font (size 0.762 0.762))) + ) + ) + (symbol "SK6805-EC15_0_1" + (polyline + (pts + (xy 1.27 -3.556) + (xy 1.778 -3.556) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -2.54) + (xy 1.778 -2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 4.699 -3.556) + (xy 2.667 -3.556) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -2.54) + (xy 1.27 -3.556) + (xy 1.27 -3.048) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -1.524) + (xy 1.27 -2.54) + (xy 1.27 -2.032) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 3.683 -1.016) + (xy 3.683 -3.556) + (xy 3.683 -4.064) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 4.699 -1.524) + (xy 2.667 -1.524) + (xy 3.683 -3.556) + (xy 4.699 -1.524) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 5.08 5.08) (end -5.08 -5.08) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "SK6805-EC15_1_1" + (pin input line (at -7.62 0 0) (length 2.54) + (name "DIN" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 7.62 270) (length 2.54) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 7.62 0 180) (length 2.54) + (name "DOUT" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -7.62 90) (length 2.54) + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + ) + ) +) diff --git a/reform2-trackball2-pcb/reform2-trackball2.kicad_pcb b/reform2-trackball2-pcb/reform2-trackball2.kicad_pcb @@ -0,0 +1,25341 @@ +(kicad_pcb (version 20211014) (generator pcbnew) + + (general + (thickness 1.6) + ) + + (paper "A4") + (layers + (0 "F.Cu" signal) + (31 "B.Cu" signal) + (32 "B.Adhes" user "B.Adhesive") + (33 "F.Adhes" user "F.Adhesive") + (34 "B.Paste" user) + (35 "F.Paste" user) + (36 "B.SilkS" user "B.Silkscreen") + (37 "F.SilkS" user "F.Silkscreen") + (38 "B.Mask" user) + (39 "F.Mask" user) + (40 "Dwgs.User" user "User.Drawings") + (41 "Cmts.User" user "User.Comments") + (42 "Eco1.User" user "User.Eco1") + (43 "Eco2.User" user "User.Eco2") + (44 "Edge.Cuts" user) + (45 "Margin" user) + (46 "B.CrtYd" user "B.Courtyard") + (47 "F.CrtYd" user "F.Courtyard") + (48 "B.Fab" user) + (49 "F.Fab" user) + ) + + (setup + (stackup + (layer "F.SilkS" (type "Top Silk Screen") (color "White")) + (layer "F.Paste" (type "Top Solder Paste")) + (layer "F.Mask" (type "Top Solder Mask") (color "Black") (thickness 0.01)) + (layer "F.Cu" (type "copper") (thickness 0.035)) + (layer "dielectric 1" (type "core") (thickness 1.51) (material "FR4") (epsilon_r 4.5) (loss_tangent 0.02)) + (layer "B.Cu" (type "copper") (thickness 0.035)) + (layer "B.Mask" (type "Bottom Solder Mask") (color "Black") (thickness 0.01)) + (layer "B.Paste" (type "Bottom Solder Paste")) + (layer "B.SilkS" (type "Bottom Silk Screen") (color "White")) + (copper_finish "ENIG") + (dielectric_constraints no) + ) + (pad_to_mask_clearance 0) + (pcbplotparams + (layerselection 0x00010fc_ffffffff) + (disableapertmacros false) + (usegerberextensions true) + (usegerberattributes true) + (usegerberadvancedattributes true) + (creategerberjobfile false) + (svguseinch false) + (svgprecision 6) + (excludeedgelayer true) + (plotframeref false) + (viasonmask false) + (mode 1) + (useauxorigin false) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15.000000) + (dxfpolygonmode true) + (dxfimperialunits true) + (dxfusepcbnewfont true) + (psnegative false) + (psa4output false) + (plotreference true) + (plotvalue false) + (plotinvisibletext false) + (sketchpadsonfab false) + (subtractmaskfromsilk true) + (outputformat 1) + (mirror false) + (drillshape 0) + (scaleselection 1) + (outputdirectory "reform2-trackball-r2b-gerbers") + ) + ) + + (net 0 "") + (net 1 "GND") + (net 2 "+1V1") + (net 3 "Net-(C6-Pad1)") + (net 4 "Net-(D1-Pad1)") + (net 5 "+5V") + (net 6 "MT") + (net 7 "Net-(C1-Pad1)") + (net 8 "unconnected-(J2-Pad5)") + (net 9 "PROG") + (net 10 "+3V3") + (net 11 "SCL") + (net 12 "SDA") + (net 13 "RESET") + (net 14 "QSPI_CS") + (net 15 "SWD") + (net 16 "SWC") + (net 17 "Net-(D2-Pad1)") + (net 18 "unconnected-(U1-Pad1)") + (net 19 "Net-(D2-Pad3)") + (net 20 "unconnected-(U2-Pad4)") + (net 21 "QSPI_SD1") + (net 22 "QSPI_SD2") + (net 23 "QSPI_SD0") + (net 24 "QSPI_SCK") + (net 25 "QSPI_SD3") + (net 26 "/5V_IN") + (net 27 "Net-(F1-Pad1)") + (net 28 "/D+") + (net 29 "/UD+") + (net 30 "/UD-") + (net 31 "/D-") + (net 32 "/PD+") + (net 33 "/PD-") + (net 34 "unconnected-(U4-Pad41)") + (net 35 "unconnected-(U4-Pad40)") + (net 36 "unconnected-(U4-Pad39)") + (net 37 "unconnected-(U4-Pad38)") + (net 38 "unconnected-(U4-Pad37)") + (net 39 "unconnected-(U4-Pad34)") + (net 40 "unconnected-(U4-Pad18)") + (net 41 "unconnected-(U4-Pad17)") + (net 42 "unconnected-(U4-Pad16)") + (net 43 "unconnected-(U4-Pad15)") + (net 44 "unconnected-(U4-Pad14)") + (net 45 "unconnected-(U4-Pad7)") + (net 46 "LED_DATA") + (net 47 "unconnected-(U4-Pad5)") + (net 48 "unconnected-(U4-Pad6)") + (net 49 "unconnected-(U4-Pad4)") + (net 50 "unconnected-(D1-Pad3)") + (net 51 "Net-(D3-Pad1)") + (net 52 "Net-(R1-Pad2)") + (net 53 "Net-(SW1-Pad1)") + (net 54 "unconnected-(U4-Pad13)") + (net 55 "unconnected-(U4-Pad12)") + (net 56 "unconnected-(U4-Pad11)") + (net 57 "unconnected-(U4-Pad9)") + (net 58 "unconnected-(U4-Pad8)") + (net 59 "Net-(SW2-Pad1)") + (net 60 "unconnected-(U4-Pad35)") + (net 61 "Net-(D4-Pad1)") + (net 62 "Net-(SW3-Pad1)") + (net 63 "Net-(SW4-Pad1)") + (net 64 "Net-(SW5-Pad1)") + + (footprint "Connector_JST:JST_PH_B4B-PH-K_1x04_P2.00mm_Vertical" (layer "F.Cu") + (tedit 5B7745C2) (tstamp 00000000-0000-0000-0000-00005b5f559a) + (at 110.1 65.25 90) + (descr "JST PH series connector, B4B-PH-K (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator") + (tags "connector JST PH side entry") + (property "LCSC" "C131334") + (property "Manufacturer" "JST") + (property "Manufacturer_No" "B4B-PH-K-S(LF)(SN)") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005b5f1753") + (attr through_hole) + (fp_text reference "J1" (at -3.25 0.4 180) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp a33398cc-3f38-4b3e-84d6-ec57824ed210) + ) + (fp_text value "Conn_USB" (at 3 4 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 03e5af0a-313e-45ec-bdde-0a4bb1d326d9) + ) + (fp_text user "${REFERENCE}" (at 3 1.5 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp d42157cd-3d09-4718-a01b-40b9279487a2) + ) + (fp_line (start 1 2.3) (end 1 1.8) (layer "F.SilkS") (width 0.12) (tstamp 002ecd4e-d215-444f-b0d5-aaf0a6cbb19a)) + (fp_line (start -2.06 -1.81) (end -2.06 2.91) (layer "F.SilkS") (width 0.12) (tstamp 02c7ef9d-8784-4483-9482-3a18f31c363b)) + (fp_line (start 8.06 2.91) (end 8.06 -1.81) (layer "F.SilkS") (width 0.12) (tstamp 03c99f16-90ca-4d62-a67d-3c052092fcbc)) + (fp_line (start 2.9 2.3) (end 2.9 1.8) (layer "F.SilkS") (width 0.12) (tstamp 14aaa043-c551-4547-b276-0eefc9d6dd1f)) + (fp_line (start 7.45 -1.2) (end 5.5 -1.2) (layer "F.SilkS") (width 0.12) (tstamp 1526bdd0-145a-4fc1-b876-117cbac2bd32)) + (fp_line (start -2.06 2.91) (end 8.06 2.91) (layer "F.SilkS") (width 0.12) (tstamp 183af1b6-12db-4e0d-8de9-326f3b05731c)) + (fp_line (start -2.06 -0.5) (end -1.45 -0.5) (layer "F.SilkS") (width 0.12) (tstamp 1c07aaa2-7e68-4a87-a4f4-913d0a552d3a)) + (fp_line (start -2.36 -2.11) (end -2.36 -0.86) (layer "F.SilkS") (width 0.12) (tstamp 1ec99733-c683-40cd-be02-8d373f1a4d17)) + (fp_line (start 0.5 -1.81) (end 0.5 -1.2) (layer "F.SilkS") (width 0.12) (tstamp 23564924-e364-4d63-bf5e-59d7a584b5a8)) + (fp_line (start 5 2.3) (end 5 1.8) (layer "F.SilkS") (width 0.12) (tstamp 30534df6-178a-49f5-9742-43deb1b0682c)) + (fp_line (start 8.06 -1.81) (end -2.06 -1.81) (layer "F.SilkS") (width 0.12) (tstamp 31f97baa-b33d-42c9-9bff-6d61a1825740)) + (fp_line (start -2.06 0.8) (end -1.45 0.8) (layer "F.SilkS") (width 0.12) (tstamp 335fb446-8c6f-458b-a067-7356a16d9b34)) + (fp_line (start -1.45 -1.2) (end -1.45 2.3) (layer "F.SilkS") (width 0.12) (tstamp 34e20e81-b9b2-48b0-9d11-46a2d0872323)) + (fp_line (start -1.45 2.3) (end 7.45 2.3) (layer "F.SilkS") (width 0.12) (tstamp 35e37e24-b22f-47e4-a23f-40742d679eda)) + (fp_line (start 4.9 2.3) (end 4.9 1.8) (layer "F.SilkS") (width 0.12) (tstamp 36caf24b-de1f-4ba4-a123-48cc393fefc9)) + (fp_line (start 0.9 1.8) (end 1.1 1.8) (layer "F.SilkS") (width 0.12) (tstamp 4889ed40-4586-46c0-bc22-35b6e0a5cfec)) + (fp_line (start 0.5 -1.2) (end -1.45 -1.2) (layer "F.SilkS") (width 0.12) (tstamp 51964569-c461-4de4-bb12-8ce38bcb0125)) + (fp_line (start 0.9 2.3) (end 0.9 1.8) (layer "F.SilkS") (width 0.12) (tstamp 587cfbf7-98ad-4ad4-b8d5-86ec1acf3741)) + (fp_line (start 5.1 1.8) (end 5.1 2.3) (layer "F.SilkS") (width 0.12) (tstamp 6ab7f422-63d5-49c0-a533-e9623246323a)) + (fp_line (start 3.1 1.8) (end 3.1 2.3) (layer "F.SilkS") (width 0.12) (tstamp 721e7e9b-79b3-4270-aa73-82bedc344e70)) + (fp_line (start 8.06 -0.5) (end 7.45 -0.5) (layer "F.SilkS") (width 0.12) (tstamp 89e1dc56-60bc-4883-b91f-9508aa9f6a6d)) + (fp_line (start -0.3 -1.91) (end -0.6 -1.91) (layer "F.SilkS") (width 0.12) (tstamp 959b0fab-9189-4877-aafd-1624c4aed52f)) + (fp_line (start 7.45 2.3) (end 7.45 -1.2) (layer "F.SilkS") (width 0.12) (tstamp a2f57678-680f-4946-a8a4-5fe6f5ec5982)) + (fp_line (start 1.1 1.8) (end 1.1 2.3) (layer "F.SilkS") (width 0.12) (tstamp a6ae0eb1-553a-49cf-9b99-f221bef72cfb)) + (fp_line (start 2.9 1.8) (end 3.1 1.8) (layer "F.SilkS") (width 0.12) (tstamp b07991b1-b933-41eb-88db-af5503166cbf)) + (fp_line (start -0.6 -2.01) (end -0.6 -1.81) (layer "F.SilkS") (width 0.12) (tstamp bba28216-8f8f-4ae0-9626-1be7e1fffd41)) + (fp_line (start 8.06 0.8) (end 7.45 0.8) (layer "F.SilkS") (width 0.12) (tstamp c018059e-4b7c-4343-b1a1-51d069bc277d)) + (fp_line (start -1.11 -2.11) (end -2.36 -2.11) (layer "F.SilkS") (width 0.12) (tstamp c45feae4-334f-40fe-8178-9b57ad285943)) + (fp_line (start 3 2.3) (end 3 1.8) (layer "F.SilkS") (width 0.12) (tstamp d28153b3-6cde-4bc4-8c48-0ae5f835230d)) + (fp_line (start -0.3 -1.81) (end -0.3 -2.01) (layer "F.SilkS") (width 0.12) (tstamp d2d44125-61cf-41c6-81eb-3ff62a16d41e)) + (fp_line (start -0.3 -2.01) (end -0.6 -2.01) (layer "F.SilkS") (width 0.12) (tstamp d6764895-174f-4533-9062-38ca4ebe8a58)) + (fp_line (start 5.5 -1.2) (end 5.5 -1.81) (layer "F.SilkS") (width 0.12) (tstamp e0fc1d7a-a816-4d2f-87a1-190ccc14be7e)) + (fp_line (start 4.9 1.8) (end 5.1 1.8) (layer "F.SilkS") (width 0.12) (tstamp fa39ce0a-93f5-4184-a66c-741ff4edff06)) + (fp_line (start 8.45 3.3) (end 8.45 -2.2) (layer "F.CrtYd") (width 0.05) (tstamp 729f92a0-a014-4cf3-b210-3e6c3e9f6fdf)) + (fp_line (start -2.45 3.3) (end 8.45 3.3) (layer "F.CrtYd") (width 0.05) (tstamp cd528c06-5bf7-4d81-ad7d-8667e61bdc1a)) + (fp_line (start 8.45 -2.2) (end -2.45 -2.2) (layer "F.CrtYd") (width 0.05) (tstamp d225c916-33de-44db-9260-22b79491fe8f)) + (fp_line (start -2.45 -2.2) (end -2.45 3.3) (layer "F.CrtYd") (width 0.05) (tstamp eab1118a-ecf7-4864-a062-f3ac179a005d)) + (fp_line (start -1.95 -1.7) (end -1.95 2.8) (layer "F.Fab") (width 0.1) (tstamp 0c1af9e3-0c97-4bfa-834f-6075d446fc4f)) + (fp_line (start -2.36 -2.11) (end -2.36 -0.86) (layer "F.Fab") (width 0.1) (tstamp 0e8d7513-2b1b-4ecb-9495-b7679afe3ef7)) + (fp_line (start -1.11 -2.11) (end -2.36 -2.11) (layer "F.Fab") (width 0.1) (tstamp 8cc1ec05-a1f2-40c5-a773-c0984f772fe5)) + (fp_line (start 7.95 -1.7) (end -1.95 -1.7) (layer "F.Fab") (width 0.1) (tstamp 98606104-bb19-4b03-b190-528abfb93a54)) + (fp_line (start -1.95 2.8) (end 7.95 2.8) (layer "F.Fab") (width 0.1) (tstamp f305a263-6a98-48e3-a30f-c26b6c448ca3)) + (fp_line (start 7.95 2.8) (end 7.95 -1.7) (layer "F.Fab") (width 0.1) (tstamp f9b44c22-f949-4cca-848c-fbcf9d4d06ed)) + (pad "1" thru_hole roundrect locked (at 0 0 90) (size 1.2 1.75) (drill 0.75) (layers *.Cu *.Mask) (roundrect_rratio 0.2083333333) + (net 26 "/5V_IN") (pinfunction "Pin_1") (pintype "passive") (tstamp f35016ae-7416-447e-858c-280e03c8ef85)) + (pad "2" thru_hole oval locked (at 2 0 90) (size 1.2 1.75) (drill 0.75) (layers *.Cu *.Mask) + (net 33 "/PD-") (pinfunction "Pin_2") (pintype "passive") (tstamp fcf80a5c-daf5-4da7-998a-138b48848970)) + (pad "3" thru_hole oval locked (at 4 0 90) (size 1.2 1.75) (drill 0.75) (layers *.Cu *.Mask) + (net 32 "/PD+") (pinfunction "Pin_3") (pintype "passive") (tstamp 1d58293f-2b6e-4dd7-8a39-afec2600ceab)) + (pad "4" thru_hole oval locked (at 6 0 90) (size 1.2 1.75) (drill 0.75) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "Pin_4") (pintype "passive") (tstamp 961f83c1-616c-4c2f-8090-6cb3cdf3fe79)) + (model "${KICAD6_3DMODEL_DIR}/Connector_JST.3dshapes/JST_PH_B4B-PH-K_1x04_P2.00mm_Vertical.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "MountingHole:MountingHole_2.2mm_M2_Pad" (layer "F.Cu") + (tedit 56D1B4CB) (tstamp 00000000-0000-0000-0000-00005b5f55fa) + (at 110.05 52.95) + (descr "Mounting Hole 2.2mm, M2") + (tags "mounting hole 2.2mm m2") + (property "LCSC" "DNP") + (property "Manufacturer_No" "DNP") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005b5f3da7") + (attr exclude_from_pos_files) + (fp_text reference "MK5" (at 0 -5) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 1e80e7d1-93d0-43c4-b1aa-76203c41ec32) + ) + (fp_text value "Mounting_Hole" (at 0 5) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 2203fae3-da5c-48d9-aca2-893a15ae7001) + ) + (fp_text user "${REFERENCE}" (at 0.3 0) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp cac668ea-607a-44a5-a5d4-b7c0b2510ae0) + ) + (fp_circle (center 0 0) (end 2.2 0) (layer "Cmts.User") (width 0.15) (fill none) (tstamp a43df4ed-e077-461f-912c-d640381d5a8c)) + (fp_circle (center 0 0) (end 2.45 0) (layer "F.CrtYd") (width 0.05) (fill none) (tstamp cf7c23e0-1158-47e8-8b2d-025d6b4bfc4f)) + (pad "1" thru_hole circle locked (at 0 0) (size 4.4 4.4) (drill 2.2) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "1") (pintype "input") (tstamp 592ecb79-ae95-4a2b-a0d7-4791ab11c4d3)) + ) + + (footprint "MountingHole:MountingHole_2.2mm_M2_Pad" (layer "F.Cu") + (tedit 5D99F770) (tstamp 00000000-0000-0000-0000-00005b5f5602) + (at 191.3 52.95) + (descr "Mounting Hole 2.2mm, M2") + (tags "mounting hole 2.2mm m2") + (property "LCSC" "DNP") + (property "Manufacturer_No" "DNP") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005b5f3dad") + (attr exclude_from_pos_files) + (fp_text reference "MK6" (at 0 -5) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 3680948b-6139-4bc7-b3a5-99121ceb9861) + ) + (fp_text value "Mounting_Hole" (at 0 5) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp c671c065-be56-4111-8931-44834195f8da) + ) + (fp_text user "${REFERENCE}" (at 0.3 0) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp e67d2cf0-82b0-489b-bbd8-232ed7663611) + ) + (fp_circle (center 0 0) (end 2.2 0) (layer "Cmts.User") (width 0.15) (fill none) (tstamp d76cea2d-37b9-4122-be41-ccddeeb1a7a9)) + (fp_circle (center 0 0) (end 2.45 0) (layer "F.CrtYd") (width 0.05) (fill none) (tstamp 180c58da-b97b-48bb-a895-58334c28a095)) + (pad "1" thru_hole circle locked (at 0 -0.04) (size 4.4 4.4) (drill 2.2) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "1") (pintype "input") (tstamp 703eb8b0-f1a6-430a-9933-cf68ef83b069)) + ) + + (footprint "MountingHole:MountingHole_2.2mm_M2_Pad" (layer "F.Cu") + (tedit 56D1B4CB) (tstamp 00000000-0000-0000-0000-00005b5f561a) + (at 168.7 54.1) + (descr "Mounting Hole 2.2mm, M2") + (tags "mounting hole 2.2mm m2") + (property "LCSC" "DNP") + (property "Manufacturer_No" "DNP") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005b5f4269") + (attr exclude_from_pos_files) + (fp_text reference "MK12" (at 0 -3.8) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 8f1e7a6d-b21c-438d-8e85-22343bf09b14) + ) + (fp_text value "Mounting_Hole" (at 0 3.8) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 0b0ea2da-4dcf-4431-9261-2bbd16c6f688) + ) + (fp_text user "${REFERENCE}" (at 0.3 0) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp b605a0b7-f67a-48f8-861b-a7c5a72576fb) + ) + (fp_circle (center 0 0) (end 2.2 0) (layer "Cmts.User") (width 0.15) (fill none) (tstamp dfdbce88-3a5d-4d8b-8477-a459bc695842)) + (fp_circle (center 0 0) (end 2.45 0) (layer "F.CrtYd") (width 0.05) (fill none) (tstamp 4e94991f-252d-4e45-90b7-9ee0f99530d7)) + (pad "1" thru_hole circle locked (at 0 0) (size 4.4 4.4) (drill 2.2) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "1") (pintype "input") (tstamp d355a36a-475a-427b-b298-1e7f05710d60)) + ) + + (footprint "MountingHole:MountingHole_2.2mm_M2_Pad" (layer "F.Cu") + (tedit 56D1B4CB) (tstamp 00000000-0000-0000-0000-00005b5f5622) + (at 168.7 80.1) + (descr "Mounting Hole 2.2mm, M2") + (tags "mounting hole 2.2mm m2") + (property "LCSC" "DNP") + (property "Manufacturer_No" "DNP") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005b5f425d") + (attr exclude_from_pos_files) + (fp_text reference "MK10" (at 0 -3.8) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 0bd65104-b8b3-42cf-b607-ecc6d8895443) + ) + (fp_text value "Mounting_Hole" (at 0 3.8) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp dd97abcd-fa1a-4754-810e-5927a49aec5d) + ) + (fp_text user "${REFERENCE}" (at 0.3 0) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp acccfcfa-c535-4f76-b833-57c75503d3c3) + ) + (fp_circle (center 0 0) (end 2.2 0) (layer "Cmts.User") (width 0.15) (fill none) (tstamp a3195cb8-e4be-4e86-9732-241b6f413582)) + (fp_circle (center 0 0) (end 2.45 0) (layer "F.CrtYd") (width 0.05) (fill none) (tstamp 24972063-ce46-47d0-a79a-b488b8adecbb)) + (pad "1" thru_hole circle locked (at 0 0) (size 4.4 4.4) (drill 2.2) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "1") (pintype "input") (tstamp ddc651db-f4e6-4f20-9ef4-d3f398a8f3c2)) + ) + + (footprint "MountingHole:MountingHole_2.2mm_M2_Pad" (layer "F.Cu") + (tedit 56D1B4CB) (tstamp 00000000-0000-0000-0000-00005b5f5632) + (at 132.7 80.1) + (descr "Mounting Hole 2.2mm, M2") + (tags "mounting hole 2.2mm m2") + (property "LCSC" "DNP") + (property "Manufacturer_No" "DNP") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005b5f4263") + (attr exclude_from_pos_files) + (fp_text reference "MK11" (at 0 -3.8) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp b52359f9-4030-420a-87fe-ee4c712d2055) + ) + (fp_text value "Mounting_Hole" (at 0 3.8) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 2f10e968-e5a5-4fc8-9808-37312040235e) + ) + (fp_text user "${REFERENCE}" (at 0.3 0) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 22f6a38b-bd28-4544-a55b-5d874a022a72) + ) + (fp_circle (center 0 0) (end 2.2 0) (layer "Cmts.User") (width 0.15) (fill none) (tstamp 866072c8-7b6d-410d-95be-7013f68ee8ea)) + (fp_circle (center 0 0) (end 2.45 0) (layer "F.CrtYd") (width 0.05) (fill none) (tstamp 6fb0d776-c2a4-451b-b703-9674eff80db2)) + (pad "1" thru_hole circle locked (at 0 0) (size 4.4 4.4) (drill 2.2) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "1") (pintype "input") (tstamp 8b5e2298-5737-4430-a94c-82044e388aaa)) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") + (tedit 5B301BBD) (tstamp 00000000-0000-0000-0000-00005b5f64a9) + (at 114.55 104.8 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "LCSC" "C99198") + (property "Manufacturer" "Yageo") + (property "Manufacturer_No" "RC0603JR-0710KL") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005b5f9132") + (attr smd) + (fp_text reference "R2" (at -2.1 -0.15 180) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp fa061212-cfb0-4635-914c-7f1182e0fea9) + ) + (fp_text value "10k" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp c47497d0-7907-4a78-8109-3e6a7ec7cd4b) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 9552f10c-df0d-47d3-a786-b516a4b1645d) + ) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 78fad7b2-939c-4ed9-aab4-481674a85be3)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp d6d3b27c-bdda-4f9d-864b-47e22b7078d2)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp b7018f10-dbad-42ca-8936-8dae70d3e693)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp bc6e90de-5ebe-4570-a228-39e1bb20d45c)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp caf2673e-6b94-4106-8e57-40a0b923a88b)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp d8e8c341-3af2-4057-9a20-d4efe57bdc5f)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 08ddef7c-0cfe-434d-99bf-3793cba1932a)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 3c771569-3b9e-477b-a554-53b974127ea4)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp aebc4f88-f75a-4ea6-99cc-5fc26f25cdcc)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp cd2d1553-2666-4a6d-ac31-2b66576b43e1)) + (pad "1" smd roundrect locked (at -0.7875 0 90) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 10 "+3V3") (pintype "passive") (tstamp 09e2867e-13cf-4e59-9caa-dac05b61e648)) + (pad "2" smd roundrect locked (at 0.7875 0 90) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 14 "QSPI_CS") (pintype "passive") (tstamp 8122bc1d-9aff-4623-be54-2bff9b20e56d)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Connector_FFC-FPC:Hirose_FH12-6S-0.5SH_1x06-1MP_P0.50mm_Horizontal" (layer "F.Cu") + (tedit 5AEE0F8A) (tstamp 00000000-0000-0000-0000-00005d997f6b) + (at 150.75 103) + (descr "Molex FH12, FFC/FPC connector, FH12-6S-0.5SH, 6 Pins per row (https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/), generated with kicad-footprint-generator") + (tags "connector Hirose top entry") + (property "LCSC" "C202118") + (property "Manufacturer" "Hirose") + (property "Manufacturer_No" "FH12-6S-0.5SH(55)") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005d3375cd") + (attr smd) + (fp_text reference "J2" (at 4.25 4) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 1d57bbb3-c3e4-4849-abd2-4eb674e15089) + ) + (fp_text value "Conn_01x06_Female" (at 0 5.6) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp a2398655-e1ff-423c-9304-0b55fa54e7c0) + ) + (fp_text user "${REFERENCE}" (at 0 3.7) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp b72fb87b-915d-4227-b841-1d0da751ebdc) + ) + (fp_line (start 3.15 -1.3) (end 3.15 0.04) (layer "F.SilkS") (width 0.12) (tstamp 1c0de0bc-76af-4f7e-8cce-2b89458acfa7)) + (fp_line (start -3.15 2.76) (end -3.15 4.5) (layer "F.SilkS") (width 0.12) (tstamp 27b66f46-764a-4bdc-bbbf-e50d4c35bcd8)) + (fp_line (start 1.66 -1.3) (end 3.15 -1.3) (layer "F.SilkS") (width 0.12) (tstamp 8c1f772d-794d-452a-bec8-77e1f4f29603)) + (fp_line (start -3.15 -1.3) (end -3.15 0.04) (layer "F.SilkS") (width 0.12) (tstamp a0078fb1-12fb-4821-9c66-8aad82524b02)) + (fp_line (start -1.66 -1.3) (end -1.66 -2.5) (layer "F.SilkS") (width 0.12) (tstamp a131b2f6-e6fd-424e-9d20-29c54e8de081)) + (fp_line (start 3.15 4.5) (end 3.15 2.76) (layer "F.SilkS") (width 0.12) (tstamp a737fb65-a0f3-4073-b148-2d805bdb65e7)) + (fp_line (start -3.15 4.5) (end 3.15 4.5) (layer "F.SilkS") (width 0.12) (tstamp e5baa1e7-0557-440d-bb4e-c1e5f3725799)) + (fp_line (start -1.66 -1.3) (end -3.15 -1.3) (layer "F.SilkS") (width 0.12) (tstamp f18354d3-c9f7-4a99-bb3c-7b0a5bfc8e73)) + (fp_line (start -4.55 4.9) (end 4.55 4.9) (layer "F.CrtYd") (width 0.05) (tstamp 36a91ae1-17fa-484f-b329-7081410c182d)) + (fp_line (start 4.55 -3) (end -4.55 -3) (layer "F.CrtYd") (width 0.05) (tstamp 805a10bb-9370-4a94-b746-e196528aed08)) + (fp_line (start 4.55 4.9) (end 4.55 -3) (layer "F.CrtYd") (width 0.05) (tstamp 830abb02-e7d6-45ff-95eb-10aabe94f69b)) + (fp_line (start -4.55 -3) (end -4.55 4.9) (layer "F.CrtYd") (width 0.05) (tstamp dec9e017-c0e3-4dde-a1fb-a24041c136a9)) + (fp_line (start -2.45 3.4) (end -2.45 3.7) (layer "F.Fab") (width 0.1) (tstamp 0724b464-cce8-4850-aa94-735c82d5ca9f)) + (fp_line (start 3.05 3.4) (end 2.45 3.4) (layer "F.Fab") (width 0.1) (tstamp 0ee91ee9-e35a-4b5d-9c3e-6e340a5cb7d8)) + (fp_line (start 3.05 -1.2) (end 3.05 3.4) (layer "F.Fab") (width 0.1) (tstamp 204beeb0-b3cd-4be1-a01f-3d976cf4dae1)) + (fp_line (start 2.45 3.7) (end 2.95 3.7) (layer "F.Fab") (width 0.1) (tstamp 40716887-5ab5-43e1-aed2-c04d7b5b2744)) + (fp_line (start -2.95 4.4) (end 0 4.4) (layer "F.Fab") (width 0.1) (tstamp 44fa05cd-faab-4ccd-9bfe-af4284abfce2)) + (fp_line (start 0 -1.2) (end -3.05 -1.2) (layer "F.Fab") (width 0.1) (tstamp 4d919af4-7104-479c-b52f-6f65288a2380)) + (fp_line (start 2.95 3.7) (end 2.95 4.4) (layer "F.Fab") (width 0.1) (tstamp 6bd01ecd-01e3-4e47-be42-9d17edace381)) + (fp_line (start 2.95 4.4) (end 0 4.4) (layer "F.Fab") (width 0.1) (tstamp 70d89f59-5479-406b-9d22-d281a22c1307)) + (fp_line (start -1.25 -0.492893) (end -0.75 -1.2) (layer "F.Fab") (width 0.1) (tstamp 92c19689-9ebc-450e-b58f-b00f792dd42e)) + (fp_line (start -3.05 3.4) (end -2.45 3.4) (layer "F.Fab") (width 0.1) (tstamp 96eba520-e8c5-4418-b914-a8d5fa9c75b6)) + (fp_line (start 0 -1.2) (end 3.05 -1.2) (layer "F.Fab") (width 0.1) (tstamp a40d83f4-114f-4ef0-a5dd-ceddd05f141f)) + (fp_line (start -2.45 3.7) (end -2.95 3.7) (layer "F.Fab") (width 0.1) (tstamp bcab1aa9-8242-4c63-b7b7-498696acfd0c)) + (fp_line (start -2.95 3.7) (end -2.95 4.4) (layer "F.Fab") (width 0.1) (tstamp bf683ea2-66bc-4540-a9f0-ee9e678f76b0)) + (fp_line (start 2.45 3.4) (end 2.45 3.7) (layer "F.Fab") (width 0.1) (tstamp c3289990-f50c-4acb-82f8-fbd4cebff92d)) + (fp_line (start -3.05 -1.2) (end -3.05 3.4) (layer "F.Fab") (width 0.1) (tstamp cc4bacca-b174-42f3-931c-62f88e55c622)) + (fp_line (start -1.75 -1.2) (end -1.25 -0.492893) (layer "F.Fab") (width 0.1) (tstamp cf11842e-ae53-4fe3-86e7-ed181253e949)) + (pad "1" smd rect locked (at -1.25 -1.85) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (pinfunction "Pin_1") (pintype "passive") (tstamp 1a5097c2-9086-4009-98ee-e613fe280ec8)) + (pad "2" smd rect locked (at -0.75 -1.85) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask") + (net 6 "MT") (pinfunction "Pin_2") (pintype "passive") (tstamp e60cd0ba-563b-48b1-8920-9c0d2406c87b)) + (pad "3" smd rect locked (at -0.25 -1.85) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask") + (net 12 "SDA") (pinfunction "Pin_3") (pintype "passive") (tstamp 91cf518a-acaa-4d81-9383-d46d9eb773d5)) + (pad "4" smd rect locked (at 0.25 -1.85) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask") + (net 11 "SCL") (pinfunction "Pin_4") (pintype "passive") (tstamp 4be46856-df16-41b3-9a87-a8f67431ea60)) + (pad "5" smd rect locked (at 0.75 -1.85) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask") + (net 8 "unconnected-(J2-Pad5)") (pinfunction "Pin_5") (pintype "passive+no_connect") (tstamp cfbd33a9-d222-49ed-a126-37c49b76229e)) + (pad "6" smd rect locked (at 1.25 -1.85) (size 0.3 1.3) (layers "F.Cu" "F.Paste" "F.Mask") + (net 10 "+3V3") (pinfunction "Pin_6") (pintype "passive") (tstamp 697b24e3-ef5d-4c51-b4ec-641d531c7dd6)) + (pad "MP" smd rect locked (at -3.15 1.4) (size 1.8 2.2) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 13f7533f-f110-4fce-b23e-f035c61e571e)) + (pad "MP" smd rect locked (at 3.15 1.4) (size 1.8 2.2) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b1622ceb-dc50-4048-9d90-145081f3a18a)) + (model "/home/mntmn/code/reform/reform2-trackpad-pcb/3d-models/FH12-6S-0.5SH.stp" + (offset (xyz -11.7 -2.4 2)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 90 -180)) + ) + ) + + (footprint "MountingHole:MountingHole_2.2mm_M2_Pad" (layer "F.Cu") + (tedit 56D1B4CB) (tstamp 00000000-0000-0000-0000-00005d99f863) + (at 110.05 106.2) + (descr "Mounting Hole 2.2mm, M2") + (tags "mounting hole 2.2mm m2") + (property "LCSC" "DNP") + (property "Manufacturer_No" "DNP") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005b5f3db9") + (attr exclude_from_pos_files) + (fp_text reference "MK8" (at 0 -5) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp a7da112a-81ec-4044-8383-f8822d789677) + ) + (fp_text value "Mounting_Hole" (at 0 5) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp a70717a7-df84-43cb-b2de-a7b46e424790) + ) + (fp_text user "${REFERENCE}" (at 0.3 0) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp a6f0a67f-6ff1-4fcf-929b-badb561d240e) + ) + (fp_circle (center 0 0) (end 2.2 0) (layer "Cmts.User") (width 0.15) (fill none) (tstamp aa28ab4a-bf9b-4b37-b0c0-dfbce7dd6607)) + (fp_circle (center 0 0) (end 2.45 0) (layer "F.CrtYd") (width 0.05) (fill none) (tstamp 33058d93-8f57-4ae0-8faa-d2a463482d23)) + (pad "1" thru_hole circle locked (at 0 0) (size 4.4 4.4) (drill 2.2) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "1") (pintype "input") (tstamp 7b745610-3579-40c5-b780-c551853462ff)) + ) + + (footprint "MountingHole:MountingHole_2.2mm_M2_Pad" (layer "F.Cu") + (tedit 5D99F80C) (tstamp 00000000-0000-0000-0000-00005d99f8fa) + (at 191.3 106.2) + (descr "Mounting Hole 2.2mm, M2") + (tags "mounting hole 2.2mm m2") + (property "LCSC" "DNP") + (property "Manufacturer_No" "DNP") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005b5f3db3") + (attr exclude_from_pos_files) + (fp_text reference "MK7" (at 0 -5) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 35fc6cc9-fb5c-4b43-b084-03cfe910b076) + ) + (fp_text value "Mounting_Hole" (at 0 5) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 6b78947f-4e68-4a10-88de-d72a1b44ca1f) + ) + (fp_text user "${REFERENCE}" (at 0.3 0) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 42070ee7-5641-42f9-a4fd-9d667e073226) + ) + (fp_circle (center 0 0) (end 2.2 0) (layer "Cmts.User") (width 0.15) (fill none) (tstamp 11f9dcc7-f2cb-4525-be96-a454f4d99139)) + (fp_circle (center 0 0) (end 2.45 0) (layer "F.CrtYd") (width 0.05) (fill none) (tstamp 473eb0a2-fc17-448f-9649-88261f748ebb)) + (pad "1" thru_hole circle locked (at 0 0) (size 4.4 4.4) (drill 2.2) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "1") (pintype "input") (tstamp 83eeaadc-6bc2-433f-bbd0-dd83a76b17a1)) + ) + + (footprint "MountingHole:MountingHole_2.2mm_M2_Pad" (layer "F.Cu") + (tedit 56D1B4CB) (tstamp 00000000-0000-0000-0000-00005d9a04eb) + (at 132.7 54.1) + (descr "Mounting Hole 2.2mm, M2") + (tags "mounting hole 2.2mm m2") + (property "LCSC" "DNP") + (property "Manufacturer_No" "DNP") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005b5f4257") + (attr exclude_from_pos_files) + (fp_text reference "MK9" (at 0 -3.8) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 1a07a6a9-f87f-4cac-812f-c9199c0ea14d) + ) + (fp_text value "Mounting_Hole" (at 0 3.8) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 0339d1af-411b-4e7b-ab7f-9ad8c0566481) + ) + (fp_text user "${REFERENCE}" (at 0.3 0) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp fc37c98a-4a24-45cc-afe4-b59af3e7d5b5) + ) + (fp_circle (center 0 0) (end 2.2 0) (layer "Cmts.User") (width 0.15) (fill none) (tstamp 5ad5b936-e280-4882-bd06-7182753cab08)) + (fp_circle (center 0 0) (end 2.45 0) (layer "F.CrtYd") (width 0.05) (fill none) (tstamp 054fd422-88d0-45ef-b24c-c7c5f08a0c24)) + (pad "1" thru_hole circle locked (at 0 0) (size 4.4 4.4) (drill 2.2) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "1") (pintype "input") (tstamp bf46cf08-9080-4d84-8fd6-01bed5435df6)) + ) + + (footprint "footprints-trackball:Diptronics-PTLP2" (layer "F.Cu") + (tedit 5F8DFA95) (tstamp 00000000-0000-0000-0000-00005d9a1f81) + (at 134.5 104.25) + (descr "CK components KMR2 tactile switch http://www.ckswitches.com/media/1479/kmr2.pdf") + (tags "tactile switch kmr2") + (property "LCSC" "C318893") + (property "Manufacturer" "XKB") + (property "Manufacturer_No" "TS-1185EC-C-D-B") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005da71827") + (attr smd) + (fp_text reference "SW6" (at 0 -2.45) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp b5696547-ec1a-476e-937e-f5222c5935c6) + ) + (fp_text value "SW_RST" (at 0 2.55) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 382c08a3-1104-4215-a46b-696d42438022) + ) + (fp_text user "${REFERENCE}" (at 0 -2.45) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp aab49a46-f958-46f3-8ab7-bdf41346471c) + ) + (fp_line (start 2.2 -1.55) (end -2.2 -1.55) (layer "F.SilkS") (width 0.12) (tstamp 10080ba0-5952-4244-b093-7ffc22f6041a)) + (fp_line (start 2.2 0.05) (end 2.2 -0.05) (layer "F.SilkS") (width 0.12) (tstamp 31b8f288-71dd-40de-a84c-f56d176bb94e)) + (fp_line (start -2.2 1.55) (end 2.2 1.55) (layer "F.SilkS") (width 0.12) (tstamp 93abb434-b56c-478e-8662-8740154a6f48)) + (fp_line (start -2.2 0.05) (end -2.2 -0.05) (layer "F.SilkS") (width 0.12) (tstamp c1b14472-4367-40bc-9365-bbe91c108280)) + (fp_line (start -3.375 1.8) (end -3.375 -1.8) (layer "F.CrtYd") (width 0.05) (tstamp 0df3eef6-ce91-45be-9dce-b804752b825f)) + (fp_line (start 3.375 1.8) (end -3.375 1.8) (layer "F.CrtYd") (width 0.05) (tstamp 87ae94fb-b93e-47d2-8781-8570798d9aae)) + (fp_line (start 3.375 -1.8) (end 3.375 1.8) (layer "F.CrtYd") (width 0.05) (tstamp a2f8dcae-095d-4622-9b42-27216d6d980b)) + (fp_line (start -3.375 -1.8) (end 3.375 -1.8) (layer "F.CrtYd") (width 0.05) (tstamp b08ba339-d8b1-48f6-ac7e-c6da7a83ebea)) + (fp_line (start -2.1 1.4) (end -2.1 -1.4) (layer "F.Fab") (width 0.1) (tstamp 34c1975b-f8c8-44ee-9d38-79c097e27667)) + (fp_line (start 2.1 1.4) (end -2.1 1.4) (layer "F.Fab") (width 0.1) (tstamp 818711cb-0682-4890-bf89-2d49c42fd153)) + (fp_line (start 2.1 -1.4) (end 2.1 1.4) (layer "F.Fab") (width 0.1) (tstamp 8a84ce7b-6bea-40dc-94dc-a5aae039bd8e)) + (fp_line (start -2.1 -1.4) (end 2.1 -1.4) (layer "F.Fab") (width 0.1) (tstamp cfe9a87a-1de6-434f-9548-6aa8845619e9)) + (fp_circle (center 0 0) (end 0 0.8) (layer "F.Fab") (width 0.1) (fill none) (tstamp f6d05162-c5fd-4037-8297-ce8f166e4267)) + (pad "1" smd rect locked (at 2.425 -0.85 90) (size 1 1.75) (layers "F.Cu" "F.Paste" "F.Mask") + (net 13 "RESET") (pinfunction "1") (pintype "passive") (tstamp 06c7bef7-4c35-4425-88b8-45cae3973d27)) + (pad "1" smd rect locked (at -2.425 -0.85 90) (size 1 1.75) (layers "F.Cu" "F.Paste" "F.Mask") + (net 13 "RESET") (pinfunction "1") (pintype "passive") (tstamp 4fb1ff22-556d-47bd-bf77-099c6d68b221)) + (pad "2" smd rect locked (at 2.425 0.85 90) (size 1 1.75) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (pinfunction "2") (pintype "passive") (tstamp 2e2f74be-dac0-4068-9eb6-f1dccfeadaa4)) + (pad "2" smd rect locked (at -2.425 0.85 90) (size 1 1.75) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (pinfunction "2") (pintype "passive") (tstamp 66968130-9638-48e0-8637-f6e4dbd98302)) + (model "${KIPRJMOD}/3d-models/KMR221GLFS.step" + (offset (xyz 0 0 1.4)) + (scale (xyz 1 1 1)) + (rotate (xyz -90 0 0)) + ) + ) + + (footprint "Button_Switch_SMD:SW_DIP_SPSTx01_Slide_Omron_A6S-110x_W8.9mm_P2.54mm" (layer "F.Cu") + (tedit 5AC88315) (tstamp 00000000-0000-0000-0000-00005d9a1fa9) + (at 123 104.25) + (descr "SMD 1x-dip-switch SPST Omron_A6S-110x, Slide, row spacing 8.9 mm (350 mils), body size (see http://omronfs.omron.com/en_US/ecb/products/pdf/en-a6s.pdf)") + (tags "SMD DIP Switch SPST Slide 8.9mm 350mil") + (property "LCSC" "C402914") + (property "Manufacturer" "Dongguan Guangzhu") + (property "Manufacturer_No" "DSIC01LSGET") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005d9e806b") + (attr smd) + (fp_text reference "SW7" (at 0 -2.7) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 099d8485-6ac4-4787-9699-32d0d4dcc96d) + ) + (fp_text value "SW_HWB" (at 0 2.8) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 9fe5cfaf-8e13-45c4-b677-e60b4f2cc9a1) + ) + (fp_text user "${REFERENCE}" (at 2.3 0 90) (layer "F.Fab") + (effects (font (size 0.6 0.6) (thickness 0.09))) + (tstamp 15b44777-38ed-4b20-9662-53029f706283) + ) + (fp_text user "on" (at 0.075 -1.145) (layer "F.Fab") + (effects (font (size 0.6 0.6) (thickness 0.09))) + (tstamp 690b7542-59e4-4c57-bb83-37dceaa45d22) + ) + (fp_line (start -3.16 1.8) (end 3.16 1.8) (layer "F.SilkS") (width 0.12) (tstamp 004f486d-6231-4640-b1b9-65d53144a017)) + (fp_line (start -3.16 -1.8) (end -3.16 -0.851) (layer "F.SilkS") (width 0.12) (tstamp 2063356c-3df1-48c9-bdc3-9d94b23d7900)) + (fp_line (start -3.16 -1.8) (end 3.16 -1.8) (layer "F.SilkS") (width 0.12) (tstamp 6ff5172d-3679-4196-b7ec-f738fcc89af4)) + (fp_line (start 3.16 0.061) (end 3.16 1.8) (layer "F.SilkS") (width 0.12) (tstamp 705f8aba-dea6-47d7-911e-29f0dc1055cb)) + (fp_line (start 3.16 -1.8) (end 3.16 -0.061) (layer "F.SilkS") (width 0.12) (tstamp 80d4f495-d504-4821-b549-5ab272168a64)) + (fp_line (start -3.16 0.061) (end -3.16 1.8) (layer "F.SilkS") (width 0.12) (tstamp 89c22e59-16ad-4cf9-ab55-4db1323baa67)) + (fp_line (start -5.2 -0.851) (end -3.16 -0.851) (layer "F.SilkS") (width 0.12) (tstamp fb885e8d-39b7-423b-bb27-4cb78d24cf47)) + (fp_line (start -5.45 2.05) (end 5.45 2.05) (layer "F.CrtYd") (width 0.05) (tstamp 4d2dde55-d72d-4830-bc54-b7bca1938b82)) + (fp_line (start -5.45 -2.05) (end -5.45 2.05) (layer "F.CrtYd") (width 0.05) (tstamp 5de5e71e-29fc-443e-b4cf-86cb01d82372)) + (fp_line (start 5.45 -2.05) (end -5.45 -2.05) (layer "F.CrtYd") (width 0.05) (tstamp 63495eef-d334-4abc-a8ca-2816268fae31)) + (fp_line (start 5.45 2.05) (end 5.45 -2.05) (layer "F.CrtYd") (width 0.05) (tstamp f60f3a6b-f861-4e33-a782-70652eab57f3)) + (fp_line (start 3.1 -1.74) (end 3.1 1.74) (layer "F.Fab") (width 0.1) (tstamp 032d8479-5912-4888-9f41-eaf4f730b2bf)) + (fp_line (start -1.5 0.55) (end 1.5 0.55) (layer "F.Fab") (width 0.1) (tstamp 21059972-aa38-4116-b15a-3b52ba8d41d6)) + (fp_line (start -1.5 0.05) (end -0.5 0.05) (layer "F.Fab") (width 0.1) (tstamp 2c44ccc9-ac40-486d-85ba-35d94bdf2e76)) + (fp_line (start -1.5 -0.45) (end -0.5 -0.45) (layer "F.Fab") (width 0.1) (tstamp 35e1ae3c-9271-4c74-8e9d-d493d91bb5c0)) + (fp_line (start -1.5 0.25) (end -0.5 0.25) (layer "F.Fab") (width 0.1) (tstamp 39f1f189-2d6a-414e-ac40-7ef12d5bc4eb)) + (fp_line (start -0.5 -0.55) (end -0.5 0.55) (layer "F.Fab") (width 0.1) (tstamp 45d75959-5a18-40f5-9bbd-241bfea58cee)) + (fp_line (start -3.1 1.74) (end -3.1 -0.74) (layer "F.Fab") (width 0.1) (tstamp 4698e2b2-fcb5-433f-adc1-c7d639fca12e)) + (fp_line (start -1.5 -0.25) (end -0.5 -0.25) (layer "F.Fab") (width 0.1) (tstamp 5501e182-3bdd-4191-a4ee-f608a8cb3b53)) + (fp_line (start -2.1 -1.74) (end 3.1 -1.74) (layer "F.Fab") (width 0.1) (tstamp 5857bbc8-fead-4e8a-ae3c-2c081ccc2f68)) + (fp_line (start -1.5 0.15) (end -0.5 0.15) (layer "F.Fab") (width 0.1) (tstamp 61c94a2c-34db-44f3-8f1a-8ff6623b5c10)) + (fp_line (start -1.5 0.35) (end -0.5 0.35) (layer "F.Fab") (width 0.1) (tstamp 6cd4be7d-90c6-4c17-8d71-ae82cab12cbb)) + (fp_line (start -1.5 -0.55) (end -1.5 0.55) (layer "F.Fab") (width 0.1) (tstamp 9f9075fb-4373-47ae-aad0-cf432b84c704)) + (fp_line (start 3.1 1.74) (end -3.1 1.74) (layer "F.Fab") (width 0.1) (tstamp a0324b99-1bc2-4b86-9022-484589c14426)) + (fp_line (start 1.5 -0.55) (end -1.5 -0.55) (layer "F.Fab") (width 0.1) (tstamp a8d13d18-d3c0-41dc-8e83-144a78063e9f)) + (fp_line (start -1.5 -0.15) (end -0.5 -0.15) (layer "F.Fab") (width 0.1) (tstamp ae566db9-5e07-43bc-9aa0-6c3a4ba48d91)) + (fp_line (start 1.5 0.55) (end 1.5 -0.55) (layer "F.Fab") (width 0.1) (tstamp b2731d24-0cf8-4d4d-ac43-76736e708b3d)) + (fp_line (start -1.5 -0.35) (end -0.5 -0.35) (layer "F.Fab") (width 0.1) (tstamp bd1c45b3-2f32-42a1-a9e5-fa1c067fb319)) + (fp_line (start -1.5 -0.05) (end -0.5 -0.05) (layer "F.Fab") (width 0.1) (tstamp ccd74f9c-06ee-4363-8681-6666e2afaef4)) + (fp_line (start -1.5 0.45) (end -0.5 0.45) (layer "F.Fab") (width 0.1) (tstamp d76f60ee-b3b7-4c85-b463-02ac2bf3b088)) + (fp_line (start -1.5 0.55) (end -0.5 0.55) (layer "F.Fab") (width 0.1) (tstamp e835a132-496b-4ca4-b8fd-194275466f7b)) + (fp_line (start -3.1 -0.74) (end -2.1 -1.74) (layer "F.Fab") (width 0.1) (tstamp fb1870dc-38d7-440b-a321-1da89e966926)) + (pad "1" smd rect locked (at -4.45 0) (size 1.5 1.1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 9 "PROG") (pintype "passive") (tstamp 211825bc-c063-47f8-8ce9-16e8dcc9aa1c)) + (pad "2" smd rect locked (at 4.45 0) (size 1.5 1.1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (pintype "passive") (tstamp 2dce8be7-ace6-46bd-a8a8-d7b8bceec8e9)) + (model "${KISYS3DMOD}/Button_Switch_SMD.3dshapes/SW_DIP_SPSTx01_Slide_Omron_A6S-110x_W8.9mm_P2.54mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model "${KICAD6_3DMODEL_DIR}/Button_Switch_SMD.3dshapes/SW_DIP_SPSTx01_Slide_Omron_A6S-110x_W8.9mm_P2.54mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tedit 5B301BBE) (tstamp 00000000-0000-0000-0000-00005d9a631e) + (at 122.2 54.5 -90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "LCSC" "C90057") + (property "Manufacturer" "Murata") + (property "Manufacturer_No" "GRM188R61E475KE11D") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005dafba4f") + (attr smd) + (fp_text reference "C5" (at -2.3 0 -90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 4eec7826-cff5-458e-b713-fbc691a2ffd7) + ) + (fp_text value "4.7uF" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 1729b575-0253-41d4-865e-f5e6cf626c69) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp ee5cc8bc-360d-4eaa-9150-3ee0a8b00f50) + ) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 0d124c9f-d73f-4a29-8907-ac2060371750)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 22d238f9-9852-4db2-bf95-f82dcd67b0fa)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 27d51d45-ec31-40f2-b6c5-26c1117643e7)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 6935a664-c268-4e21-a341-2b10b143ef06)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 7edeebd9-22bb-42f5-b42b-755c22bd666a)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp f6fbdb01-de4f-4555-937c-3f9cb7feccdc)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 43deb395-a94a-4212-b4bf-588c523775cd)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 86ac4e3f-6804-4341-ade3-b55a36b6285c)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp aa564db5-a2dd-4027-af6c-15e5195d3dd3)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp f512ccea-b3e3-4251-b1e1-53f645f872dd)) + (pad "1" smd roundrect locked (at -0.7875 0 270) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "+5V") (pintype "passive") (tstamp 081c4873-26e6-4725-8d69-9aba0fd48400)) + (pad "2" smd roundrect locked (at 0.7875 0 270) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 3cf8306c-9584-482a-8fb0-f4e4578058ca)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-23-5" (layer "F.Cu") + (tedit 5A02FF57) (tstamp 00000000-0000-0000-0000-00005d9a6847) + (at 125.45 54) + (descr "5-pin SOT23 package") + (tags "SOT-23-5") + (property "LCSC" "C404027") + (property "Manufacturer" "Texas Instruments") + (property "Manufacturer_No" "TLV75533PDBVR") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005daeea1f") + (attr smd) + (fp_text reference "U2" (at 0 -2.3) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 41c29b05-7e90-4e9a-9994-2a32043a7fed) + ) + (fp_text value "TLV75533PDBV" (at 0 2.9) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp c4dd93b1-4a37-4687-b407-f9011dc2608c) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.075))) + (tstamp f9646b07-e71f-4bdf-83cc-4efba3a81563) + ) + (fp_line (start 0.9 -1.61) (end -1.55 -1.61) (layer "F.SilkS") (width 0.12) (tstamp 79c5dfbf-13b1-4300-9310-bf61ca4993e3)) + (fp_line (start -0.9 1.61) (end 0.9 1.61) (layer "F.SilkS") (width 0.12) (tstamp e5a5bd7e-8a24-4b83-845a-4c300a9cddba)) + (fp_line (start -1.9 -1.8) (end 1.9 -1.8) (layer "F.CrtYd") (width 0.05) (tstamp 1c9f7a10-d142-4a4a-989c-ba0200c598ee)) + (fp_line (start 1.9 -1.8) (end 1.9 1.8) (layer "F.CrtYd") (width 0.05) (tstamp 3ffb0a1e-03ab-48af-b4a2-5de07c8c1fcc)) + (fp_line (start -1.9 1.8) (end -1.9 -1.8) (layer "F.CrtYd") (width 0.05) (tstamp ed4d088f-3c6c-4360-a00e-1511e78df182)) + (fp_line (start 1.9 1.8) (end -1.9 1.8) (layer "F.CrtYd") (width 0.05) (tstamp eeff31eb-f8e4-42d0-b254-840cedb4d813)) + (fp_line (start -0.9 -0.9) (end -0.25 -1.55) (layer "F.Fab") (width 0.1) (tstamp 074e1efb-997a-4632-b30c-c23f6b277c8f)) + (fp_line (start 0.9 -1.55) (end -0.25 -1.55) (layer "F.Fab") (width 0.1) (tstamp 3230a8e0-3157-4a7c-ac9b-17e0cbb73637)) + (fp_line (start 0.9 -1.55) (end 0.9 1.55) (layer "F.Fab") (width 0.1) (tstamp a9dbe008-9c35-4c18-9ac7-486f4f588bba)) + (fp_line (start 0.9 1.55) (end -0.9 1.55) (layer "F.Fab") (width 0.1) (tstamp d2f04b40-97f8-42b4-ad9d-b1eae3f660f7)) + (fp_line (start -0.9 -0.9) (end -0.9 1.55) (layer "F.Fab") (width 0.1) (tstamp f15bdb18-9365-4cb6-99aa-7386fc327cc2)) + (pad "1" smd rect locked (at -1.1 -0.95) (size 1.06 0.65) (layers "F.Cu" "F.Paste" "F.Mask") + (net 5 "+5V") (pinfunction "IN") (pintype "power_in") (tstamp 5b064dca-1134-42ab-85e6-e303a1a6db8e)) + (pad "2" smd rect locked (at -1.1 0) (size 1.06 0.65) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 67b6cc31-cfbe-4122-becf-b64bb43bf2c4)) + (pad "3" smd rect locked (at -1.1 0.95) (size 1.06 0.65) (layers "F.Cu" "F.Paste" "F.Mask") + (net 5 "+5V") (pinfunction "EN") (pintype "input") (tstamp 689d5dd9-13c2-47ab-9550-4c1c6b55f04d)) + (pad "4" smd rect locked (at 1.1 0.95) (size 1.06 0.65) (layers "F.Cu" "F.Paste" "F.Mask") + (net 20 "unconnected-(U2-Pad4)") (pinfunction "NC") (pintype "no_connect") (tstamp 5a57f072-43dd-4efe-9d6d-535bbcc881c6)) + (pad "5" smd rect locked (at 1.1 -0.95) (size 1.06 0.65) (layers "F.Cu" "F.Paste" "F.Mask") + (net 10 "+3V3") (pinfunction "OUT") (pintype "power_out") (tstamp 4ac60466-c113-4b43-bd3c-cda8d9b29f4b)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23-5.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23-5.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") + (tedit 5B301BBD) (tstamp 00000000-0000-0000-0000-00005d9aaf4f) + (at 117.7 70.4125 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "LCSC" "C185346") + (property "Manufacturer" "Yageo") + (property "Manufacturer_No" "RC0603FR-0727R4L") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005db926fb") + (attr smd) + (fp_text reference "R8" (at -2.4 0 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 973a8075-0895-46c3-9528-24684d3d3a11) + ) + (fp_text value "27.4" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 127d9e9b-0b3a-449e-b1ed-a51644ccee51) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 021d97a3-6876-4615-a234-0e877114f7e7) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 480b893a-73d9-48a6-87e2-49d35caa0fc3)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp c962ddfd-6f3d-4174-9f23-21c878eba4f9)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 37f47530-c1e3-408b-b36a-a4d45b7a1c53)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 8c8465cc-4aef-4974-9e1f-aa95cf9c50e3)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp cf766696-40e5-4543-b7f4-09b53478f2e4)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp dc4c7518-c97c-4826-a9f9-cb2ff59042f6)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 0ab28f2b-0eef-4a70-b42d-c17e4a28f195)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 817de6b9-1baf-41e6-b225-045d3ec0c2c0)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp b2f9a2e7-ea8e-407e-a145-967c1cae21b0)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp b5f90f06-5ddc-4396-9c60-deb1782588ff)) + (pad "1" smd roundrect locked (at -0.7875 0 270) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 29 "/UD+") (pintype "passive") (tstamp 609deaf8-7d4a-43a9-8666-bf5980d9ccd1)) + (pad "2" smd roundrect locked (at 0.7875 0 270) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 28 "/D+") (pintype "passive") (tstamp 73675983-8007-4bc9-a535-fe89e8c367b9)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") + (tedit 5B301BBD) (tstamp 00000000-0000-0000-0000-00005d9aaf60) + (at 119.5 70.4 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "LCSC" "C185346") + (property "Manufacturer" "Yageo") + (property "Manufacturer_No" "RC0603FR-0727R4L") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005db9dabc") + (attr smd) + (fp_text reference "R9" (at 0.7 1.4 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 2d8be877-9d6d-4e99-9926-6655ec73ba84) + ) + (fp_text value "27.4" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 9ba093e8-3d65-4378-a605-237dd3d41406) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 39d52616-2bf3-4937-9309-4de970743ad1) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 4c5792e4-b9a3-4c50-8a18-b42e15c17f59)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp a085d5e0-7ccb-4e8c-a089-8dcfcd37bb57)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 32fc1120-6d83-41b7-ac40-274eaf5f1718)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 6fd12772-b7ed-474a-bec3-bfc681fd5c00)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 794442fc-19d3-4789-bf68-56780dd8a9f3)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp dc9a351f-33e3-4574-a14f-00b5566d442e)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp a7c9e298-694c-4b7f-8f83-fe963edbbb08)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp c38e0342-7fd2-4e0a-8b4d-530a35d78a8e)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp caa1b09d-6768-432e-a8ab-299fb5c8d87b)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp d3d9ccb3-2b77-4a9e-ae51-aa1b40ff066a)) + (pad "1" smd roundrect locked (at -0.7875 0 90) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 31 "/D-") (pintype "passive") (tstamp 5a4a5876-77fb-46fd-8fbb-1873415e316d)) + (pad "2" smd roundrect locked (at 0.7875 0 90) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 30 "/UD-") (pintype "passive") (tstamp 11e40928-2e60-4de1-8815-8488a50a0b33)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") + (tedit 5B301BBD) (tstamp 00000000-0000-0000-0000-00005d9ad20a) + (at 143 104 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "LCSC" "C105428") + (property "Manufacturer" "Yageo") + (property "Manufacturer_No" "RC0603FR-074K7L") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005dbf5969") + (attr smd) + (fp_text reference "R10" (at -3 0 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f9a723b8-4ca2-49b8-a8c5-b68b7f8f7788) + ) + (fp_text value "4.7k" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 7fa4c099-0216-446b-baff-acd14790f65a) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 2f8028ec-da7b-4601-aa42-cd93248161dc) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 35a4af70-0dc9-430c-af73-067671bda023)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 597c8761-8d93-4489-9e68-f19b4c977639)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 114bd28a-b459-4118-b3c2-94ac0be9e746)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 81abc433-fdf8-463b-b2af-8567d13234e2)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp bb35b30d-6d60-453c-9d12-554cdc84b916)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp da3eb2d9-9c79-4fa1-b02b-87dacc12172f)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 92aea7bf-8301-4e4b-b290-9dac911f40e5)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp a1e1c990-7107-4405-8dab-feb24f9d9b71)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp dfea77e6-1421-4bac-83dc-e2bd95464c8a)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp f4d104e5-86ab-4c1a-a9cc-06995cbc208b)) + (pad "1" smd roundrect locked (at -0.7875 0 270) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 11 "SCL") (pintype "passive") (tstamp 84b06b33-26bf-46a8-afe9-1cecd2b8b3f0)) + (pad "2" smd roundrect locked (at 0.7875 0 270) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 10 "+3V3") (pintype "passive") (tstamp 5081a6d6-1a88-4dc4-81af-0ae0f4dd168d)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") + (tedit 5B301BBD) (tstamp 00000000-0000-0000-0000-00005d9ad21b) + (at 141.25 104 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "LCSC" "C105428") + (property "Manufacturer" "Yageo") + (property "Manufacturer_No" "RC0603FR-074K7L") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005dbf5e5d") + (attr smd) + (fp_text reference "R11" (at -3 0 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 00ad57ba-922b-4715-9b06-ca64cefb25ac) + ) + (fp_text value "4.7k" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 0c3253be-779e-4d31-b560-cb3fca58389c) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 94dbe9f2-7fde-4829-a70a-288846bd6366) + ) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 2b5f7c6b-7f56-4632-868f-98d0d3887d8b)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp ad79fde0-d08e-4b35-b0c2-bbf9149159ef)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 5a052c59-a79c-4f31-93fa-186ba29d74cf)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp a0bebaca-500c-47ee-bcf6-4fef49e66901)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp af1f4342-73a3-4f30-908d-5a386a469fb9)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp b035e89e-15e1-4feb-8c8b-7c106c3ab89f)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 06bf9d49-7b5f-47a4-b07c-3559eb8c6892)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 30363c75-5886-4386-a8e1-27b6be87c474)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 931b4310-1b69-4913-802f-3e597ba02789)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp eee0fe5a-ad73-43c3-98c4-ffe950243b89)) + (pad "1" smd roundrect locked (at -0.7875 0 270) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 12 "SDA") (pintype "passive") (tstamp aba5bce6-0a05-4ac7-87ac-02bf79d3d007)) + (pad "2" smd roundrect locked (at 0.7875 0 270) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 10 "+3V3") (pintype "passive") (tstamp 91ca8769-ac13-42ae-a610-1aa89eaf9a22)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Diode_SMD:D_SOD-123" (layer "F.Cu") + (tedit 58645DC7) (tstamp 00000000-0000-0000-0000-00005f57e437) + (at 119.3 58.6) + (descr "SOD-123") + (tags "SOD-123") + (property "LCSC" "C173406") + (property "Manufacturer" "Nexperia") + (property "Manufacturer_No" "BZT52-B5V6J") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005f70c861") + (attr smd) + (fp_text reference "D6" (at 1.5 -1.7) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f8d90c37-8506-4cde-b488-fa98b9df705b) + ) + (fp_text value "BZT52-B5V6J" (at 0 2.1) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 13ec12eb-44a5-4ec9-b473-b3d31a02c594) + ) + (fp_text user "${REFERENCE}" (at 0 -2) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 5b116cca-1247-415b-bce9-6bdcb7151b8d) + ) + (fp_line (start -2.25 -1) (end -2.25 1) (layer "F.SilkS") (width 0.12) (tstamp 03231359-d403-4c38-a894-ffd4316cc0a7)) + (fp_line (start -2.25 -1) (end 1.65 -1) (layer "F.SilkS") (width 0.12) (tstamp 28933085-c78b-4357-bd4c-b1c3d4a816c1)) + (fp_line (start -2.25 1) (end 1.65 1) (layer "F.SilkS") (width 0.12) (tstamp c58b9f67-ad5e-401e-8462-208ec879cb98)) + (fp_line (start 2.35 -1.15) (end 2.35 1.15) (layer "F.CrtYd") (width 0.05) (tstamp 0eda5fad-b51e-480f-9823-7ed76791b8d7)) + (fp_line (start 2.35 1.15) (end -2.35 1.15) (layer "F.CrtYd") (width 0.05) (tstamp 2746b039-f6af-440d-98f2-8646f217a43c)) + (fp_line (start -2.35 -1.15) (end -2.35 1.15) (layer "F.CrtYd") (width 0.05) (tstamp 3c3fbc02-15cf-4f2c-beb4-a4b74ac0c157)) + (fp_line (start -2.35 -1.15) (end 2.35 -1.15) (layer "F.CrtYd") (width 0.05) (tstamp 8d58bb00-7330-4724-b424-8ab265fb3b53)) + (fp_line (start 0.25 0.4) (end -0.35 0) (layer "F.Fab") (width 0.1) (tstamp 0f93ddaa-13bf-4dc0-8bc9-c02461b526ed)) + (fp_line (start -0.75 0) (end -0.35 0) (layer "F.Fab") (width 0.1) (tstamp 27e172ad-860e-4f88-af67-5584cc9a9c28)) + (fp_line (start -0.35 0) (end 0.25 -0.4) (layer "F.Fab") (width 0.1) (tstamp 3d2a8889-9370-4aa2-933f-60f6aa32eceb)) + (fp_line (start -0.35 0) (end -0.35 0.55) (layer "F.Fab") (width 0.1) (tstamp 56cb7e2c-551a-4418-b86a-0c0a68973397)) + (fp_line (start 0.25 0) (end 0.75 0) (layer "F.Fab") (width 0.1) (tstamp 5f8ccadb-c72a-45c8-8186-071057819f40)) + (fp_line (start 1.4 -0.9) (end 1.4 0.9) (layer "F.Fab") (width 0.1) (tstamp 8188da37-339f-4db4-9ef2-19461c6acea4)) + (fp_line (start 1.4 0.9) (end -1.4 0.9) (layer "F.Fab") (width 0.1) (tstamp 8ebc7ff8-1880-4887-b7f1-c45c512d96e5)) + (fp_line (start -0.35 0) (end -0.35 -0.55) (layer "F.Fab") (width 0.1) (tstamp 99a4daea-6b44-44ec-a67a-0aa05cb67df2)) + (fp_line (start -1.4 -0.9) (end 1.4 -0.9) (layer "F.Fab") (width 0.1) (tstamp cd934ef3-b7d9-4328-9e3d-24b66816d6a6)) + (fp_line (start 0.25 -0.4) (end 0.25 0.4) (layer "F.Fab") (width 0.1) (tstamp ec9e27ee-b80f-47e0-9f5b-67d95746409b)) + (fp_line (start -1.4 0.9) (end -1.4 -0.9) (layer "F.Fab") (width 0.1) (tstamp f6e16814-90c0-427e-8402-c2a3bc5ab620)) + (pad "1" smd rect locked (at -1.65 0) (size 0.9 1.2) (layers "F.Cu" "F.Paste" "F.Mask") + (net 5 "+5V") (pinfunction "K") (pintype "passive") (tstamp a4ac4c1c-6abd-491c-a441-23c2a6031f9f)) + (pad "2" smd rect locked (at 1.65 0) (size 0.9 1.2) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (pinfunction "A") (pintype "passive") (tstamp ecb17380-d9eb-448e-9137-3fb77eb738a1)) + (model "${KISYS3DMOD}/Diode_SMD.3dshapes/D_SOD-123.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model "${KICAD6_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-123.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Fuse:Fuse_1206_3216Metric_Castellated" (layer "F.Cu") + (tedit 5E627E60) (tstamp 00000000-0000-0000-0000-00005f57e448) + (at 115.4 56.4 -90) + (descr "Fuse SMD 1206 (3216 Metric), castellated end terminal, IPC_7351. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "fuse castellated") + (property "LCSC" "C70082") + (property "Manufacturer" "TECHFUSE") + (property "Manufacturer_No" "nSMD100-16V") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005f7426c5") + (attr smd) + (fp_text reference "F1" (at -1.7 1.8 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 939f2998-1071-41ac-99e1-7470e1e33094) + ) + (fp_text value "0ZCJ0075AF2E" (at 0 1.78 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 3f379405-3d48-469d-9a51-721a77bdef78) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp ee4191a7-0b23-406d-a03a-148c10bffa73) + ) + (fp_line (start -0.490455 0.91) (end 0.490455 0.91) (layer "F.SilkS") (width 0.12) (tstamp 25712592-0760-42ba-acb0-f30f5ca8cc83)) + (fp_line (start -0.490455 -0.91) (end 0.490455 -0.91) (layer "F.SilkS") (width 0.12) (tstamp d309189c-d81e-4a23-8286-e4a4af8da8b1)) + (fp_line (start -2.48 -1.08) (end 2.48 -1.08) (layer "F.CrtYd") (width 0.05) (tstamp 20496d2f-b1b1-46cc-891a-7ab3a8e7443e)) + (fp_line (start -2.48 1.08) (end -2.48 -1.08) (layer "F.CrtYd") (width 0.05) (tstamp 3509f128-2125-4908-b019-bc22b57c41f3)) + (fp_line (start 2.48 -1.08) (end 2.48 1.08) (layer "F.CrtYd") (width 0.05) (tstamp 4c5e65cc-1c10-428c-9e95-c9b31acb14dd)) + (fp_line (start 2.48 1.08) (end -2.48 1.08) (layer "F.CrtYd") (width 0.05) (tstamp 9227a62a-a248-4ae1-b65f-37f7caa39254)) + (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer "F.Fab") (width 0.1) (tstamp 7b7055aa-fd46-440f-bbfb-aff001236a02)) + (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer "F.Fab") (width 0.1) (tstamp a02c9df6-0a7f-4092-96ed-f9192be93f52)) + (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer "F.Fab") (width 0.1) (tstamp a41761a4-12a7-4c14-9f23-0b372beb6ae4)) + (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer "F.Fab") (width 0.1) (tstamp f676a3eb-2a15-4401-8ac3-0db3dca5bfdd)) + (pad "1" smd roundrect locked (at -1.425 0 270) (size 1.6 1.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.15625) + (net 27 "Net-(F1-Pad1)") (pintype "passive") (tstamp 27e74811-add2-4f24-863d-d0cf7121c597)) + (pad "2" smd roundrect locked (at 1.425 0 270) (size 1.6 1.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.15625) + (net 26 "/5V_IN") (pintype "passive") (tstamp 5c3437aa-c516-4129-9505-1ebcf0eeb5ef)) + (model "${KIPRJMOD}/3d-models/SMD_Polyfuse_1206.stp" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Inductor_SMD:L_0603_1608Metric" (layer "F.Cu") + (tedit 5B301BBE) (tstamp 00000000-0000-0000-0000-00005f57e459) + (at 117.6 55.4 -90) + (descr "Inductor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "inductor") + (property "LCSC" "C14709") + (property "Manufacturer" "Murata") + (property "Manufacturer_No" "BLM18PG221SH1D") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005f6ad2b1") + (attr smd) + (fp_text reference "FB1" (at 0 -1.43 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp b97b452d-dd19-40fd-ad34-afc53118417c) + ) + (fp_text value "BLM18PG221SH1D" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 61f00d54-02da-4df6-afe0-03b939c2235d) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp c7330f90-d248-4214-9458-0876a0ace8e0) + ) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 31d46500-c446-4b1f-9ed6-f98761cb3ef9)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp a94baaba-6fc4-4423-a2f4-68b934e2f638)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 4e25623f-50d1-43e7-9c45-7f2dcef9a019)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 5e4ad298-7567-41c7-b2f8-8d498a2e4f41)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp d33ec414-45cb-4bc0-860b-d516bf8d9953)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp e001b011-b510-4ff2-977e-74b09f5e44a7)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 4ee16bd4-b87a-44f4-a203-5ab179c5c6da)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 7daf3443-c80b-4fad-ad4c-49b0830103ed)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp ac3e3e6c-5439-4c0c-b921-2af1b492a3fe)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp b135b16e-fc8f-41fe-9ff4-2c24d2817ec2)) + (pad "1" smd roundrect locked (at -0.7875 0 270) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 27 "Net-(F1-Pad1)") (pintype "passive") (tstamp a3c07a16-7814-4ffc-9f53-a09521f9f253)) + (pad "2" smd roundrect locked (at 0.7875 0 270) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "+5V") (pintype "passive") (tstamp 118221a2-a409-461d-8203-ce98f411e3f5)) + (model "${KISYS3DMOD}/Inductor_SMD.3dshapes/L_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model "${KICAD6_3DMODEL_DIR}/Inductor_SMD.3dshapes/L_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-23-6" (layer "F.Cu") + (tedit 5A02FF57) (tstamp 00000000-0000-0000-0000-00005f57e929) + (at 115.85 62.3 180) + (descr "6-pin SOT-23 package") + (tags "SOT-23-6") + (property "LCSC" "C7519") + (property "Manufacturer" "STMicroelectronics") + (property "Manufacturer_No" "USBLC6-2SC6") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005f65961e") + (attr smd) + (fp_text reference "U3" (at 1 -2.55) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 8ca4a60a-9553-49b3-a01a-83aa555cad4c) + ) + (fp_text value "USBLC6-2SC6" (at 0 2.9) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp e5a1f66b-194f-4b4b-82cd-b373606beef5) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.075))) + (tstamp cca37d80-30be-448c-b42e-21a92e3ab483) + ) + (fp_line (start 0.9 -1.61) (end -1.55 -1.61) (layer "F.SilkS") (width 0.12) (tstamp 83a82ef6-1eab-497b-bad8-186b72029a3f)) + (fp_line (start -0.9 1.61) (end 0.9 1.61) (layer "F.SilkS") (width 0.12) (tstamp f432d436-77a8-4575-9f0f-971c7b46a058)) + (fp_line (start 1.9 1.8) (end 1.9 -1.8) (layer "F.CrtYd") (width 0.05) (tstamp 1acae0a0-b627-485c-a560-4a414024adfa)) + (fp_line (start -1.9 1.8) (end 1.9 1.8) (layer "F.CrtYd") (width 0.05) (tstamp 2ae3b4b0-4abd-4919-ac2f-177150b96314)) + (fp_line (start 1.9 -1.8) (end -1.9 -1.8) (layer "F.CrtYd") (width 0.05) (tstamp 7577f60e-9147-4ed0-9fa1-275387d40238)) + (fp_line (start -1.9 -1.8) (end -1.9 1.8) (layer "F.CrtYd") (width 0.05) (tstamp 92dbad63-6fd2-47fa-834a-cbce4f2a11db)) + (fp_line (start -0.9 -0.9) (end -0.9 1.55) (layer "F.Fab") (width 0.1) (tstamp 0e070e4c-0ffd-4be8-b277-b40e096a342c)) + (fp_line (start -0.9 -0.9) (end -0.25 -1.55) (layer "F.Fab") (width 0.1) (tstamp 534ac620-42d1-42bf-9f0e-7af67aac5d63)) + (fp_line (start 0.9 1.55) (end -0.9 1.55) (layer "F.Fab") (width 0.1) (tstamp a35b6624-31c6-4bd8-92a4-54db17d10542)) + (fp_line (start 0.9 -1.55) (end 0.9 1.55) (layer "F.Fab") (width 0.1) (tstamp aa45ff07-4ad4-47b5-a206-6ad6143c3218)) + (fp_line (start 0.9 -1.55) (end -0.25 -1.55) (layer "F.Fab") (width 0.1) (tstamp ea806c08-9c49-4882-892a-d54ccfded2da)) + (pad "1" smd rect locked (at -1.1 -0.95 180) (size 1.06 0.65) (layers "F.Cu" "F.Paste" "F.Mask") + (net 30 "/UD-") (pinfunction "I/O1") (pintype "passive") (tstamp 485ca5ff-35ce-4d17-b605-04ace4dd85c8)) + (pad "2" smd rect locked (at -1.1 0 180) (size 1.06 0.65) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (pinfunction "GND") (pintype "passive") (tstamp 44c7030b-4848-460f-bf5e-af360c97d7b3)) + (pad "3" smd rect locked (at -1.1 0.95 180) (size 1.06 0.65) (layers "F.Cu" "F.Paste" "F.Mask") + (net 29 "/UD+") (pinfunction "I/O2") (pintype "passive") (tstamp ec5c6b2d-afb0-4918-8baf-9ee5a028923f)) + (pad "4" smd rect locked (at 1.1 0.95 180) (size 1.06 0.65) (layers "F.Cu" "F.Paste" "F.Mask") + (net 32 "/PD+") (pinfunction "I/O2") (pintype "passive") (tstamp 1fc278f7-df8c-436b-a8dc-a481e0d49e57)) + (pad "5" smd rect locked (at 1.1 0 180) (size 1.06 0.65) (layers "F.Cu" "F.Paste" "F.Mask") + (net 5 "+5V") (pinfunction "VBUS") (pintype "passive") (tstamp 6e6e5d78-793a-4d53-9f2a-83849cade25c)) + (pad "6" smd rect locked (at 1.1 -0.95 180) (size 1.06 0.65) (layers "F.Cu" "F.Paste" "F.Mask") + (net 33 "/PD-") (pinfunction "I/O1") (pintype "passive") (tstamp 657eec67-a4df-4730-8614-fa71a94c8618)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23-6.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23-6.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "footprints:mntreform-trackball-badge" (layer "F.Cu") + (tedit 0) (tstamp 00000000-0000-0000-0000-00005f582c57) + (at 172.2 104.2) + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005f6bbd83") + (attr board_only exclude_from_pos_files exclude_from_bom) + (fp_text reference "MK1" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 1.524 1.524) (thickness 0.3))) + (tstamp f263fd4e-91dc-44f8-aab6-7089354f354f) + ) + (fp_text value "BADGE" (at 0.75 0) (layer "F.SilkS") hide + (effects (font (size 1.524 1.524) (thickness 0.3))) + (tstamp 187e1350-b2b2-4946-9fb6-ee9341557792) + ) + (fp_poly (pts + (xy 12.744877 -0.304951) + (xy 12.766547 -0.284663) + (xy 12.80599 -0.232635) + (xy 12.802393 -0.188201) + (xy 12.79554 -0.175997) + (xy 12.748328 -0.137519) + (xy 12.681494 -0.116966) + (xy 12.622258 -0.120925) + (xy 12.607222 -0.130408) + (xy 12.58604 -0.185908) + (xy 12.59853 -0.254) + (xy 12.638668 -0.307146) + (xy 12.646994 -0.312284) + (xy 12.698434 -0.328188) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 005eef7d-8d4c-4477-9cdf-929ee1791a6f)) + (fp_poly (pts + (xy 4.797778 -0.874889) + (xy 4.374445 -0.874889) + (xy 4.374445 -0.677333) + (xy 4.769556 -0.677333) + (xy 4.769556 -0.508) + (xy 4.374445 -0.508) + (xy 4.374445 -0.282222) + (xy 4.797778 -0.282222) + (xy 4.797778 -0.112889) + (xy 4.147302 -0.112889) + (xy 4.162778 -1.058333) + (xy 4.797778 -1.074293) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 0105ed70-7295-4f8f-a110-a1013dc3d805)) + (fp_poly (pts + (xy -8.471079 0.207486) + (xy -8.366184 0.24377) + (xy -8.28063 0.308304) + (xy -8.226126 0.399233) + (xy -8.212667 0.482961) + (xy -8.222974 0.54878) + (xy -8.258089 0.620317) + (xy -8.324299 0.707314) + (xy -8.427892 0.819516) + (xy -8.433715 0.8255) + (xy -8.5919 0.987778) + (xy -8.184444 0.987778) + (xy -8.184444 1.157111) + (xy -8.861778 1.157111) + (xy -8.862105 1.0795) + (xy -8.852733 1.034114) + (xy -8.819945 0.97964) + (xy -8.757287 0.907786) + (xy -8.658303 0.810264) + (xy -8.636327 0.789529) + (xy -8.517718 0.671444) + (xy -8.442868 0.578925) + (xy -8.408784 0.505915) + (xy -8.41247 0.446359) + (xy -8.444089 0.400756) + (xy -8.511754 0.367053) + (xy -8.589582 0.372269) + (xy -8.653272 0.412446) + (xy -8.669357 0.437445) + (xy -8.708294 0.489429) + (xy -8.773122 0.507392) + (xy -8.795752 0.508) + (xy -8.858964 0.506028) + (xy -8.884049 0.490951) + (xy -8.87691 0.44903) + (xy -8.84989 0.381968) + (xy -8.78472 0.286694) + (xy -8.692056 0.227092) + (xy -8.583606 0.201308) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 01f9f0da-e82a-446e-841a-7de6a8fd8e7b)) + (fp_poly (pts + (xy 3.245556 1.862667) + (xy 3.640667 1.862667) + (xy 3.640667 1.495778) + (xy 3.866445 1.495778) + (xy 3.866445 2.427111) + (xy 3.640667 2.427111) + (xy 3.640667 2.032) + (xy 3.245556 2.032) + (xy 3.245556 2.427111) + (xy 3.048 2.427111) + (xy 3.048 1.495778) + (xy 3.245556 1.495778) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 04ec5d15-5a64-477d-8452-1e6480ac0314)) + (fp_poly (pts + (xy -3.088539 -1.061281) + (xy -2.976793 -1.023001) + (xy -2.888717 -0.952495) + (xy -2.848575 -0.884084) + (xy -2.831184 -0.796536) + (xy -2.849411 -0.706495) + (xy -2.907023 -0.605022) + (xy -3.007787 -0.483178) + (xy -3.025648 -0.463883) + (xy -3.195583 -0.282222) + (xy -2.822222 -0.282222) + (xy -2.822222 -0.112889) + (xy -3.160889 -0.112889) + (xy -3.303632 -0.113322) + (xy -3.399327 -0.115764) + (xy -3.45737 -0.121925) + (xy -3.487156 -0.133515) + (xy -3.498081 -0.152245) + (xy -3.499555 -0.174552) + (xy -3.478295 -0.225995) + (xy -3.416505 -0.309558) + (xy -3.317177 -0.421419) + (xy -3.259667 -0.481363) + (xy -3.166727 -0.579792) + (xy -3.090555 -0.667035) + (xy -3.038968 -0.733672) + (xy -3.01978 -0.770287) + (xy -3.019778 -0.770461) + (xy -3.042864 -0.838429) + (xy -3.099791 -0.881461) + (xy -3.172059 -0.895756) + (xy -3.241169 -0.87751) + (xy -3.287526 -0.8255) + (xy -3.327261 -0.777342) + (xy -3.399057 -0.762087) + (xy -3.40747 -0.762) + (xy -3.477426 -0.777188) + (xy -3.500281 -0.82071) + (xy -3.475839 -0.889505) + (xy -3.420268 -0.962736) + (xy -3.32483 -1.03315) + (xy -3.209403 -1.065331) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 0746063e-64ad-4d6a-af9d-ad7f8a8da9e5)) + (fp_poly (pts + (xy -0.310125 -3.525916) + (xy -0.221404 -3.518738) + (xy -0.161126 -3.503859) + (xy -0.115327 -3.47889) + (xy -0.103556 -3.470049) + (xy -0.025265 -3.375992) + (xy 0.00731 -3.261741) + (xy -0.00632 -3.140874) + (xy -0.066642 -3.026967) + (xy -0.086798 -3.00358) + (xy -0.115638 -2.969463) + (xy -0.124351 -2.938034) + (xy -0.110717 -2.89342) + (xy -0.072519 -2.819751) + (xy -0.057207 -2.791913) + (xy -0.012759 -2.708229) + (xy 0.018014 -2.644487) + (xy 0.027711 -2.617611) + (xy 0.003118 -2.604024) + (xy -0.058505 -2.596858) + (xy -0.077611 -2.596525) + (xy -0.136762 -2.600017) + (xy -0.177909 -2.618089) + (xy -0.214624 -2.662305) + (xy -0.260481 -2.744226) + (xy -0.268111 -2.758803) + (xy -0.330146 -2.862478) + (xy -0.384043 -2.917522) + (xy -0.416278 -2.930018) + (xy -0.451305 -2.930997) + (xy -0.470333 -2.912996) + (xy -0.478208 -2.863627) + (xy -0.479777 -2.770502) + (xy -0.479778 -2.76774) + (xy -0.479778 -2.596444) + (xy -0.705555 -2.596444) + (xy -0.705555 -3.104444) + (xy -0.479778 -3.104444) + (xy -0.372533 -3.104444) + (xy -0.293516 -3.112869) + (xy -0.237158 -3.133612) + (xy -0.231422 -3.138311) + (xy -0.203147 -3.193332) + (xy -0.197555 -3.231444) + (xy -0.218011 -3.30596) + (xy -0.281363 -3.347479) + (xy -0.372533 -3.358444) + (xy -0.479778 -3.358444) + (xy -0.479778 -3.104444) + (xy -0.705555 -3.104444) + (xy -0.705555 -3.527778) + (xy -0.44125 -3.527778) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 0b356c4c-f818-4359-98b4-266f269ebedc)) + (fp_poly (pts + (xy 10.16 1.665111) + (xy 9.708445 1.665111) + (xy 9.708445 1.860194) + (xy 9.913056 1.868486) + (xy 10.018675 1.873819) + (xy 10.080498 1.882479) + (xy 10.111148 1.899471) + (xy 10.12325 1.929802) + (xy 10.126474 1.953117) + (xy 10.128928 1.992388) + (xy 10.11733 2.01574) + (xy 10.080159 2.02811) + (xy 10.005892 2.034438) + (xy 9.928919 2.037784) + (xy 9.722556 2.046111) + (xy 9.713796 2.151945) + (xy 9.705037 2.257778) + (xy 10.16 2.257778) + (xy 10.16 2.427111) + (xy 9.510889 2.427111) + (xy 9.510889 1.495778) + (xy 10.16 1.495778) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 0ea260af-9088-4645-b08f-f7786755bc7d)) + (fp_poly (pts + (xy -10.396134 -1.063947) + (xy -10.342572 -1.042938) + (xy -10.336527 -1.037166) + (xy -10.314826 -0.99726) + (xy -10.278442 -0.917568) + (xy -10.233076 -0.810943) + (xy -10.199015 -0.727135) + (xy -10.152404 -0.613958) + (xy -10.112277 -0.523346) + (xy -10.083653 -0.466236) + (xy -10.072736 -0.451969) + (xy -10.055433 -0.476117) + (xy -10.024573 -0.540504) + (xy -9.98613 -0.632412) + (xy -9.976821 -0.656166) + (xy -9.914882 -0.813396) + (xy -9.866699 -0.92523) + (xy -9.826794 -0.99934) + (xy -9.789691 -1.0434) + (xy -9.749913 -1.065081) + (xy -9.701982 -1.072054) + (xy -9.679649 -1.072444) + (xy -9.567333 -1.072444) + (xy -9.567333 -0.112889) + (xy -9.762914 -0.112889) + (xy -9.770957 -0.402166) + (xy -9.779 -0.691444) + (xy -9.891889 -0.401098) + (xy -9.942432 -0.273752) + (xy -9.979357 -0.190609) + (xy -10.008362 -0.142835) + (xy -10.035146 -0.121596) + (xy -10.065407 -0.118058) + (xy -10.073937 -0.118875) + (xy -10.107254 -0.129247) + (xy -10.138013 -0.157796) + (xy -10.171808 -0.213525) + (xy -10.21423 -0.305434) + (xy -10.263118 -0.423333) + (xy -10.38314 -0.719666) + (xy -10.384459 -0.416278) + (xy -10.385778 -0.112889) + (xy -10.583333 -0.112889) + (xy -10.583333 -1.072444) + (xy -10.4739 -1.072444) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 181a224a-b6b8-4fdb-8af8-e63ab426c9ab)) + (fp_poly (pts + (xy -0.654292 2.843389) + (xy -0.661687 2.883737) + (xy -0.680781 2.907596) + (xy -0.724303 2.920157) + (xy -0.804986 2.926609) + (xy -0.864883 2.929233) + (xy -1.066544 2.937465) + (xy -1.077938 3.035066) + (xy -1.078796 3.105737) + (xy -1.06299 3.133126) + (xy -1.034594 3.111327) + (xy -1.030111 3.104445) + (xy -0.986079 3.08037) + (xy -0.910484 3.076149) + (xy -0.823817 3.089805) + (xy -0.746566 3.11936) + (xy -0.724445 3.133951) + (xy -0.650807 3.224512) + (xy -0.616361 3.338224) + (xy -0.620802 3.458384) + (xy -0.663823 3.568288) + (xy -0.733778 3.64356) + (xy -0.847331 3.695013) + (xy -0.981685 3.711356) + (xy -1.091836 3.695096) + (xy -1.177704 3.650149) + (xy -1.250193 3.579408) + (xy -1.292993 3.500855) + (xy -1.298222 3.467527) + (xy -1.277206 3.425629) + (xy -1.225087 3.412893) + (xy -1.15826 3.427989) + (xy -1.093119 3.469584) + (xy -1.077721 3.485445) + (xy -1.000863 3.543265) + (xy -0.923959 3.553054) + (xy -0.860264 3.519157) + (xy -0.823034 3.445919) + (xy -0.818444 3.400436) + (xy -0.840046 3.3065) + (xy -0.897561 3.248006) + (xy -0.980055 3.233069) + (xy -1.028139 3.244806) + (xy -1.117003 3.267124) + (xy -1.187171 3.273778) + (xy -1.241506 3.268677) + (xy -1.264714 3.242303) + (xy -1.269753 3.178047) + (xy -1.269755 3.167945) + (xy -1.26604 3.074862) + (xy -1.256935 2.961988) + (xy -1.251712 2.913945) + (xy -1.233916 2.765778) + (xy -0.645362 2.765778) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 1ce35651-35a0-4b54-a572-127427803495)) + (fp_poly (pts + (xy 3.79927 -1.028059) + (xy 3.91403 -0.948321) + (xy 3.986502 -0.84466) + (xy 4.036004 -0.747889) + (xy 3.935533 -0.739035) + (xy 3.854984 -0.742642) + (xy 3.798283 -0.779068) + (xy 3.77815 -0.802535) + (xy 3.703153 -0.858121) + (xy 3.608084 -0.877457) + (xy 3.514798 -0.859379) + (xy 3.458259 -0.81959) + (xy 3.418075 -0.743313) + (xy 3.395335 -0.636526) + (xy 3.392796 -0.523216) + (xy 3.413179 -0.427462) + (xy 3.475766 -0.338044) + (xy 3.562797 -0.292537) + (xy 3.660643 -0.293181) + (xy 3.755674 -0.342214) + (xy 3.781778 -0.366889) + (xy 3.850122 -0.421856) + (xy 3.919471 -0.450049) + (xy 3.975807 -0.449268) + (xy 4.00511 -0.417311) + (xy 4.006556 -0.402166) + (xy 3.978248 -0.294659) + (xy 3.903782 -0.206763) + (xy 3.791837 -0.144739) + (xy 3.651098 -0.114843) + (xy 3.60547 -0.113075) + (xy 3.506475 -0.116825) + (xy 3.438287 -0.134583) + (xy 3.375463 -0.175689) + (xy 3.331927 -0.213484) + (xy 3.253358 -0.298361) + (xy 3.208701 -0.390261) + (xy 3.191154 -0.460428) + (xy 3.177907 -0.635463) + (xy 3.208711 -0.789143) + (xy 3.278659 -0.915013) + (xy 3.382842 -1.006614) + (xy 3.516351 -1.057488) + (xy 3.649825 -1.063837) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 1ecd7ce8-31de-4606-ae17-44310997eaae)) + (fp_poly (pts + (xy -4.938889 2.935111) + (xy -5.362222 2.935111) + (xy -5.362222 3.132667) + (xy -4.967111 3.132667) + (xy -4.967111 3.302) + (xy -5.362222 3.302) + (xy -5.362222 3.527778) + (xy -4.938889 3.527778) + (xy -4.938889 3.697111) + (xy -5.588 3.697111) + (xy -5.588 2.765778) + (xy -4.938889 2.765778) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 1edb2642-0653-4604-98df-dcf65e4631d1)) + (fp_poly (pts + (xy -2.914015 -3.522268) + (xy -2.780029 -3.513666) + (xy -2.530619 -2.90226) + (xy -2.402764 -3.215019) + (xy -2.274908 -3.527778) + (xy -2.003778 -3.527778) + (xy -2.003778 -2.596444) + (xy -2.227512 -2.596444) + (xy -2.235589 -2.870771) + (xy -2.243667 -3.145098) + (xy -2.352695 -2.869205) + (xy -2.402499 -2.745867) + (xy -2.438755 -2.66643) + (xy -2.467539 -2.621872) + (xy -2.494928 -2.603171) + (xy -2.526996 -2.601305) + (xy -2.532701 -2.601934) + (xy -2.566447 -2.611896) + (xy -2.59667 -2.638808) + (xy -2.62901 -2.691836) + (xy -2.669106 -2.780143) + (xy -2.720006 -2.906299) + (xy -2.836333 -3.202042) + (xy -2.844344 -2.899243) + (xy -2.852354 -2.596444) + (xy -3.048 -2.596444) + (xy -3.048 -3.53087) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 237479c4-a13a-4a52-a623-10ff913d2e29)) + (fp_poly (pts + (xy -7.916333 -1.058333) + (xy -7.916333 -0.889) + (xy -8.135055 -0.880757) + (xy -8.353778 -0.872514) + (xy -8.353778 -0.677333) + (xy -7.958667 -0.677333) + (xy -7.958667 -0.508) + (xy -8.353778 -0.508) + (xy -8.353778 -0.282222) + (xy -7.902222 -0.282222) + (xy -7.902222 -0.112889) + (xy -8.551333 -0.112889) + (xy -8.551333 -1.074293) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 23b70f62-5adc-49a3-bcc2-7c49ac059946)) + (fp_poly (pts + (xy 4.189629 -3.521655) + (xy 4.32937 -3.513666) + (xy 4.477381 -3.090333) + (xy 4.528028 -2.943505) + (xy 4.571411 -2.813981) + (xy 4.604284 -2.711753) + (xy 4.623403 -2.646811) + (xy 4.626918 -2.630018) + (xy 4.606302 -2.605052) + (xy 4.538228 -2.600736) + (xy 4.523507 -2.601796) + (xy 4.450692 -2.614756) + (xy 4.412624 -2.64839) + (xy 4.392424 -2.702278) + (xy 4.375664 -2.752428) + (xy 4.351718 -2.779855) + (xy 4.305525 -2.791415) + (xy 4.22203 -2.793966) + (xy 4.191 -2.794) + (xy 4.094796 -2.79271) + (xy 4.039977 -2.784269) + (xy 4.011484 -2.761821) + (xy 3.994258 -2.718507) + (xy 3.989566 -2.702278) + (xy 3.965936 -2.642327) + (xy 3.927459 -2.613847) + (xy 3.853919 -2.602492) + (xy 3.848365 -2.602072) + (xy 3.733318 -2.593589) + (xy 3.873253 -3.007357) + (xy 4.095785 -3.007357) + (xy 4.111529 -2.975319) + (xy 4.155693 -2.964269) + (xy 4.191 -2.963333) + (xy 4.261999 -2.972457) + (xy 4.287627 -2.997545) + (xy 4.287591 -2.998611) + (xy 4.27746 -3.043975) + (xy 4.253955 -3.121305) + (xy 4.235982 -3.174352) + (xy 4.186561 -3.314815) + (xy 4.139392 -3.17638) + (xy 4.105921 -3.070879) + (xy 4.095785 -3.007357) + (xy 3.873253 -3.007357) + (xy 3.891604 -3.061617) + (xy 4.049889 -3.529644) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 2a3a74c8-334c-4a8f-841f-ac45cc9965ac)) + (fp_poly (pts + (xy -5.023555 -3.358444) + (xy -5.446889 -3.358444) + (xy -5.446889 -3.160889) + (xy -5.08 -3.160889) + (xy -5.08 -2.991555) + (xy -5.446889 -2.991555) + (xy -5.446889 -2.596444) + (xy -5.644444 -2.596444) + (xy -5.644444 -3.527778) + (xy -5.023555 -3.527778) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 2ead614c-2b31-431d-9fc8-fa2e8c714515)) + (fp_poly (pts + (xy -3.330058 1.926167) + (xy -3.278728 2.074267) + (xy -3.233367 2.204859) + (xy -3.197418 2.308055) + (xy -3.174322 2.373969) + (xy -3.167946 2.391834) + (xy -3.179318 2.416279) + (xy -3.240132 2.42656) + (xy -3.26857 2.427111) + (xy -3.343579 2.422442) + (xy -3.383758 2.39869) + (xy -3.410543 2.341239) + (xy -3.415311 2.327055) + (xy -3.448333 2.226998) + (xy -3.621162 2.235332) + (xy -3.716731 2.241511) + (xy -3.771119 2.253461) + (xy -3.799557 2.278837) + (xy -3.817278 2.325299) + (xy -3.82019 2.335389) + (xy -3.843089 2.395061) + (xy -3.879175 2.421079) + (xy -3.948977 2.427086) + (xy -3.958213 2.427111) + (xy -4.034237 2.420434) + (xy -4.059069 2.399516) + (xy -4.057693 2.391834) + (xy -4.044609 2.354234) + (xy -4.016451 2.273191) + (xy -3.976652 2.158586) + (xy -3.928826 2.020827) + (xy -3.716255 2.020827) + (xy -3.712031 2.046573) + (xy -3.667177 2.05837) + (xy -3.612444 2.060222) + (xy -3.536515 2.05555) + (xy -3.507178 2.039193) + (xy -3.508634 2.020827) + (xy -3.525946 1.970009) + (xy -3.550629 1.891461) + (xy -3.558454 1.865604) + (xy -3.583728 1.794777) + (xy -3.606188 1.753822) + (xy -3.612444 1.749778) + (xy -3.631531 1.774118) + (xy -3.656685 1.83531) + (xy -3.666435 1.865604) + (xy -3.69168 1.947381) + (xy -3.712186 2.009627) + (xy -3.716255 2.020827) + (xy -3.928826 2.020827) + (xy -3.928643 2.020301) + (xy -3.895969 1.926167) + (xy -3.74659 1.495778) + (xy -3.479075 1.495778) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 2fb31d24-b420-4d78-a5a9-54a25763b940)) + (fp_poly (pts + (xy 1.834445 3.060148) + (xy 1.835043 3.191732) + (xy 1.83829 3.277268) + (xy 1.84636 3.32715) + (xy 1.861429 3.351775) + (xy 1.885673 3.361535) + (xy 1.897945 3.363537) + (xy 1.95073 3.391465) + (xy 1.9744 3.442391) + (xy 1.967008 3.49545) + (xy 1.926607 3.529774) + (xy 1.91372 3.532653) + (xy 1.860208 3.558924) + (xy 1.839625 3.6195) + (xy 1.825909 3.672571) + (xy 1.78925 3.693824) + (xy 1.733792 3.697111) + (xy 1.668253 3.692154) + (xy 1.641703 3.667238) + (xy 1.636889 3.612445) + (xy 1.636889 3.527778) + (xy 1.411111 3.527778) + (xy 1.299063 3.5269) + (xy 1.23196 3.522033) + (xy 1.198308 3.509831) + (xy 1.186612 3.486946) + (xy 1.185333 3.462033) + (xy 1.199964 3.414265) + (xy 1.226656 3.358445) + (xy 1.409377 3.358445) + (xy 1.636889 3.358445) + (xy 1.636889 3.184408) + (xy 1.635333 3.095994) + (xy 1.631273 3.037567) + (xy 1.626192 3.02213) + (xy 1.606732 3.050214) + (xy 1.56597 3.112572) + (xy 1.512711 3.195734) + (xy 1.512436 3.196167) + (xy 1.409377 3.358445) + (xy 1.226656 3.358445) + (xy 1.239959 3.330624) + (xy 1.299478 3.222268) + (xy 1.372677 3.100355) + (xy 1.384826 3.081033) + (xy 1.584319 2.765778) + (xy 1.834445 2.765778) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 3495e8e4-211e-43bf-b4c2-3090c6fe7e62)) + (fp_poly (pts + (xy 9.532852 -0.670278) + (xy 9.540704 -0.282222) + (xy 9.934222 -0.282222) + (xy 9.934222 -0.112889) + (xy 9.637003 -0.112889) + (xy 9.491088 -0.115107) + (xy 9.394827 -0.12228) + (xy 9.341585 -0.135186) + (xy 9.325792 -0.149351) + (xy 9.321435 -0.189072) + (xy 9.318658 -0.274514) + (xy 9.317602 -0.394971) + (xy 9.318405 -0.539739) + (xy 9.319622 -0.622074) + (xy 9.327445 -1.058333) + (xy 9.525 -1.058333) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 370e0dd4-a7f9-40d9-8ed7-4e7380dd25fe)) + (fp_poly (pts + (xy -7.140222 -0.874889) + (xy -7.563555 -0.874889) + (xy -7.563555 -0.679912) + (xy -7.373055 -0.671567) + (xy -7.271936 -0.665903) + (xy -7.214104 -0.656275) + (xy -7.186429 -0.637025) + (xy -7.175777 -0.602492) + (xy -7.173625 -0.585611) + (xy -7.164695 -0.508) + (xy -7.563555 -0.508) + (xy -7.563555 -0.112889) + (xy -7.761111 -0.112889) + (xy -7.761111 -1.072444) + (xy -7.140222 -1.072444) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 3802a48a-ca14-41d8-b06d-7a2b6930cecc)) + (fp_poly (pts + (xy -1.529333 -1.066095) + (xy -1.409115 -1.055984) + (xy -1.304672 -1.041351) + (xy -1.233274 -1.024818) + (xy -1.219181 -1.019011) + (xy -1.150263 -0.956205) + (xy -1.097026 -0.861591) + (xy -1.072775 -0.759754) + (xy -1.072444 -0.747889) + (xy -1.087332 -0.662363) + (xy -1.124515 -0.577078) + (xy -1.172773 -0.514397) + (xy -1.197553 -0.49852) + (xy -1.215481 -0.481366) + (xy -1.210702 -0.444733) + (xy -1.180206 -0.378312) + (xy -1.142152 -0.308944) + (xy -1.093797 -0.221899) + (xy -1.058802 -0.15605) + (xy -1.044287 -0.124821) + (xy -1.044222 -0.124289) + (xy -1.069284 -0.117136) + (xy -1.131857 -0.113171) + (xy -1.156705 -0.112889) + (xy -1.217938 -0.115575) + (xy -1.259489 -0.131199) + (xy -1.29462 -0.171105) + (xy -1.336595 -0.246637) + (xy -1.354667 -0.282222) + (xy -1.405988 -0.376272) + (xy -1.446812 -0.428417) + (xy -1.487007 -0.449397) + (xy -1.510295 -0.451555) + (xy -1.549176 -0.447918) + (xy -1.570169 -0.428172) + (xy -1.578761 -0.379068) + (xy -1.580443 -0.287355) + (xy -1.580444 -0.282222) + (xy -1.580444 -0.112889) + (xy -1.778 -0.112889) + (xy -1.778 -0.910325) + (xy -1.580444 -0.910325) + (xy -1.580444 -0.620889) + (xy -1.474611 -0.621321) + (xy -1.394291 -0.627879) + (xy -1.335338 -0.643407) + (xy -1.329972 -0.646333) + (xy -1.301395 -0.692001) + (xy -1.291677 -0.762071) + (xy -1.302889 -0.827056) + (xy -1.315861 -0.847339) + (xy -1.357326 -0.866832) + (xy -1.431378 -0.885918) + (xy -1.4605 -0.891162) + (xy -1.580444 -0.910325) + (xy -1.778 -0.910325) + (xy -1.778 -1.080273) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 43ecbceb-80b2-4b26-8797-dfd12ec64437)) + (fp_poly (pts + (xy 0.569575 1.48491) + (xy 0.602564 1.494387) + (xy 0.691186 1.540646) + (xy 0.771431 1.610853) + (xy 0.828178 1.689051) + (xy 0.846667 1.751943) + (xy 0.825296 1.794774) + (xy 0.772462 1.809727) + (xy 0.705088 1.797996) + (xy 0.640092 1.760773) + (xy 0.615847 1.735667) + (xy 0.539593 1.680107) + (xy 0.442873 1.665172) + (xy 0.345788 1.692245) + (xy 0.311793 1.714789) + (xy 0.264857 1.782384) + (xy 0.235001 1.883289) + (xy 0.226749 1.995781) + (xy 0.237119 2.073347) + (xy 0.284663 2.17135) + (xy 0.360835 2.234694) + (xy 0.451827 2.259542) + (xy 0.543829 2.242059) + (xy 0.621657 2.180167) + (xy 0.682965 2.102556) + (xy 0.581372 2.093797) + (xy 0.513493 2.082839) + (xy 0.485237 2.055933) + (xy 0.479778 2.002074) + (xy 0.479778 1.919111) + (xy 0.874889 1.919111) + (xy 0.874845 2.024945) + (xy 0.849509 2.170677) + (xy 0.779697 2.291626) + (xy 0.674506 2.380776) + (xy 0.543037 2.431111) + (xy 0.394388 2.435613) + (xy 0.352187 2.427992) + (xy 0.211168 2.370419) + (xy 0.107447 2.271551) + (xy 0.042814 2.133974) + (xy 0.019061 1.960272) + (xy 0.018975 1.947334) + (xy 0.028504 1.832774) + (xy 0.053049 1.731923) + (xy 0.065572 1.702614) + (xy 0.154917 1.587937) + (xy 0.277667 1.50995) + (xy 0.42037 1.47387) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 48ae8864-1bb7-4815-8788-a16e0b175513)) + (fp_poly (pts + (xy -3.998053 2.770369) + (xy -3.897609 2.836781) + (xy -3.882257 2.85357) + (xy -3.822365 2.95509) + (xy -3.81839 3.050427) + (xy -3.870344 3.138938) + (xy -3.876767 3.145555) + (xy -3.943533 3.212321) + (xy -3.862655 3.272117) + (xy -3.796143 3.34939) + (xy -3.777552 3.438626) + (xy -3.80293 3.52954) + (xy -3.868321 3.611851) + (xy -3.969772 3.675275) + (xy -4.025117 3.694632) + (xy -4.108341 3.715716) + (xy -4.166118 3.720517) + (xy -4.226993 3.70921) + (xy -4.2723 3.69614) + (xy -4.367592 3.650048) + (xy -4.443134 3.581752) + (xy -4.483991 3.506225) + (xy -4.487333 3.480525) + (xy -4.475514 3.431431) + (xy -4.42937 3.41537) + (xy -4.411541 3.414889) + (xy -4.335651 3.434087) + (xy -4.271911 3.474861) + (xy -4.192286 3.522065) + (xy -4.106707 3.531609) + (xy -4.034972 3.502819) + (xy -4.016812 3.483578) + (xy -3.985794 3.411593) + (xy -4.008384 3.355536) + (xy -4.083662 3.31676) + (xy -4.138672 3.304612) + (xy -4.210576 3.287293) + (xy -4.2416 3.258679) + (xy -4.247444 3.216855) + (xy -4.237434 3.166755) + (xy -4.196659 3.141575) + (xy -4.151589 3.132667) + (xy -4.076923 3.109249) + (xy -4.026422 3.07168) + (xy -4.024734 3.069167) + (xy -4.009042 3.006525) + (xy -4.035352 2.955149) + (xy -4.089399 2.921777) + (xy -4.156921 2.913146) + (xy -4.223657 2.935993) + (xy -4.252489 2.961577) + (xy -4.328964 3.010031) + (xy -4.394828 3.019778) + (xy -4.459036 3.015502) + (xy -4.482208 2.995381) + (xy -4.467423 2.948475) + (xy -4.432133 2.88726) + (xy -4.350251 2.802585) + (xy -4.240116 2.754236) + (xy -4.117469 2.743176) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 4edddd43-6a1a-475c-9a13-e7500d91f05c)) + (fp_poly (pts + (xy 12.160514 -1.064691) + (xy 12.265152 -1.027098) + (xy 12.350372 -0.96067) + (xy 12.404535 -0.867339) + (xy 12.417778 -0.782156) + (xy 12.413497 -0.725429) + (xy 12.395611 -0.674183) + (xy 12.356556 -0.616148) + (xy 12.288768 -0.539052) + (xy 12.229703 -0.477034) + (xy 12.041629 -0.282222) + (xy 12.446 -0.282222) + (xy 12.446 -0.112889) + (xy 11.768667 -0.112889) + (xy 11.768667 -0.190493) + (xy 11.778296 -0.235874) + (xy 11.81146 -0.290547) + (xy 11.874579 -0.362714) + (xy 11.974068 -0.460573) + (xy 11.996787 -0.481974) + (xy 12.098791 -0.579736) + (xy 12.164762 -0.649796) + (xy 12.201476 -0.701243) + (xy 12.215705 -0.743167) + (xy 12.21551 -0.775468) + (xy 12.193612 -0.843887) + (xy 12.16109 -0.883254) + (xy 12.092367 -0.899524) + (xy 12.017586 -0.882098) + (xy 11.962339 -0.83892) + (xy 11.95209 -0.818379) + (xy 11.921213 -0.77686) + (xy 11.85494 -0.762327) + (xy 11.83732 -0.762) + (xy 11.772502 -0.763932) + (xy 11.746598 -0.778897) + (xy 11.753417 -0.820644) + (xy 11.780555 -0.888032) + (xy 11.846478 -0.985119) + (xy 11.939538 -1.045636) + (xy 12.048096 -1.071515) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 52849334-7807-45e1-ac4f-4f346ac8b568)) + (fp_poly (pts + (xy 2.271889 -3.146778) + (xy 2.42512 -3.337278) + (xy 2.500812 -3.429419) + (xy 2.55466 -3.485756) + (xy 2.599433 -3.515071) + (xy 2.647901 -3.526146) + (xy 2.702099 -3.527778) + (xy 2.825848 -3.527778) + (xy 2.486642 -3.112658) + (xy 2.654432 -2.871509) + (xy 2.723923 -2.770103) + (xy 2.779379 -2.686264) + (xy 2.814004 -2.630444) + (xy 2.822222 -2.613402) + (xy 2.797177 -2.602738) + (xy 2.734683 -2.59685) + (xy 2.710385 -2.596444) + (xy 2.650986 -2.59958) + (xy 2.606737 -2.615705) + (xy 2.564493 -2.654897) + (xy 2.511104 -2.72723) + (xy 2.480238 -2.772833) + (xy 2.422265 -2.858965) + (xy 2.377388 -2.925077) + (xy 2.354055 -2.958735) + (xy 2.352868 -2.960299) + (xy 2.327233 -2.954203) + (xy 2.300793 -2.935677) + (xy 2.269669 -2.875976) + (xy 2.2579 -2.764133) + (xy 2.257778 -2.748211) + (xy 2.257778 -2.596444) + (xy 2.032 -2.596444) + (xy 2.032 -3.527778) + (xy 2.255199 -3.527778) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 53f73edc-46c3-436c-ba12-e3c2dde6f4e6)) + (fp_poly (pts + (xy 13.358199 -1.062907) + (xy 13.47827 -1.018253) + (xy 13.575115 -0.934275) + (xy 13.620684 -0.856845) + (xy 13.643892 -0.772106) + (xy 13.659292 -0.659927) + (xy 13.663083 -0.577573) + (xy 13.644575 -0.399242) + (xy 13.590149 -0.258962) + (xy 13.501455 -0.160225) + (xy 13.452087 -0.130884) + (xy 13.331374 -0.090516) + (xy 13.221124 -0.096203) + (xy 13.12527 -0.134099) + (xy 13.019975 -0.218548) + (xy 12.950677 -0.345264) + (xy 12.918256 -0.512327) + (xy 12.915826 -0.591974) + (xy 12.920014 -0.63515) + (xy 13.125496 -0.63515) + (xy 13.128805 -0.553993) + (xy 13.138138 -0.443915) + (xy 13.154266 -0.374104) + (xy 13.182185 -0.32841) + (xy 13.205186 -0.307048) + (xy 13.265352 -0.264658) + (xy 13.310506 -0.26084) + (xy 13.36597 -0.295724) + (xy 13.381262 -0.307951) + (xy 13.415957 -0.342221) + (xy 13.436054 -0.385168) + (xy 13.445407 -0.452138) + (xy 13.447873 -0.558475) + (xy 13.447889 -0.573099) + (xy 13.444659 -0.69277) + (xy 13.432975 -0.771302) + (xy 13.409851 -0.823737) + (xy 13.394126 -0.843704) + (xy 13.323297 -0.89507) + (xy 13.253264 -0.890364) + (xy 13.182273 -0.829438) + (xy 13.179128 -0.8255) + (xy 13.145918 -0.774489) + (xy 13.12918 -0.717188) + (xy 13.125496 -0.63515) + (xy 12.920014 -0.63515) + (xy 12.932529 -0.764158) + (xy 12.981053 -0.894583) + (xy 13.064385 -0.990016) + (xy 13.103537 -1.017142) + (xy 13.228691 -1.063962) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 54f17da3-ce10-4642-a7d2-a8d454de5825)) + (fp_poly (pts + (xy -9.348845 0.205299) + (xy -9.214382 0.24517) + (xy -9.113162 0.330602) + (xy -9.045396 0.461385) + (xy -9.028463 0.522922) + (xy -9.008999 0.696165) + (xy -9.027342 0.856089) + (xy -9.080053 0.992696) + (xy -9.16369 1.095991) + (xy -9.242489 1.144698) + (xy -9.344684 1.178413) + (xy -9.428607 1.178309) + (xy -9.52178 1.143564) + (xy -9.537105 1.135901) + (xy -9.642197 1.065049) + (xy -9.710346 0.972865) + (xy -9.748152 0.847526) + (xy -9.759935 0.736613) + (xy -9.758639 0.702391) + (xy -9.558656 0.702391) + (xy -9.545022 0.823621) + (xy -9.508952 0.923572) + (xy -9.480632 0.96159) + (xy -9.424139 1.006177) + (xy -9.374769 1.00727) + (xy -9.315097 0.963451) + (xy -9.297939 0.946727) + (xy -9.257247 0.896258) + (xy -9.236224 0.836391) + (xy -9.229013 0.747003) + (xy -9.228667 0.708676) + (xy -9.233207 0.603691) + (xy -9.244905 0.511624) + (xy -9.255891 0.468292) + (xy -9.302972 0.40688) + (xy -9.373365 0.375244) + (xy -9.444936 0.380974) + (xy -9.468792 0.395308) + (xy -9.520156 0.469806) + (xy -9.550239 0.57831) + (xy -9.558656 0.702391) + (xy -9.758639 0.702391) + (xy -9.752839 0.549278) + (xy -9.70998 0.399108) + (xy -9.63374 0.289179) + (xy -9.526499 0.222567) + (xy -9.390636 0.202347) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 5af0d5aa-a702-4d57-90a0-394872c7b857)) + (fp_poly (pts + (xy -1.524 3.697111) + (xy -1.721555 3.697111) + (xy -1.721555 3.330222) + (xy -1.722457 3.193738) + (xy -1.724925 3.080276) + (xy -1.728601 3.000315) + (xy -1.73313 2.964333) + (xy -1.734053 2.963334) + (xy -1.763909 2.97635) + (xy -1.823448 3.008903) + (xy -1.846942 3.022566) + (xy -1.947333 3.081798) + (xy -1.947333 2.995361) + (xy -1.921461 2.914491) + (xy -1.853219 2.842545) + (xy -1.756666 2.789706) + (xy -1.645863 2.766158) + (xy -1.630337 2.765778) + (xy -1.524 2.765778) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 5cf7383c-95c0-429e-8db3-bb65b0e4a2a6)) + (fp_poly (pts + (xy -6.822722 -1.072287) + (xy -6.628989 -1.059535) + (xy -6.483361 -1.020463) + (xy -6.383095 -0.953262) + (xy -6.325451 -0.856119) + (xy -6.307667 -0.732145) + (xy -6.325031 -0.604493) + (xy -6.379939 -0.514194) + (xy -6.476617 -0.457285) + (xy -6.619289 -0.429802) + (xy -6.630972 -0.428888) + (xy -6.801555 -0.416549) + (xy -6.801555 -0.112889) + (xy -6.999111 -0.112889) + (xy -6.999111 -0.909393) + (xy -6.801555 -0.909393) + (xy -6.801555 -0.592666) + (xy -6.694311 -0.592666) + (xy -6.615293 -0.601091) + (xy -6.558936 -0.621834) + (xy -6.5532 -0.626533) + (xy -6.525518 -0.685084) + (xy -6.521892 -0.761523) + (xy -6.542128 -0.826358) + (xy -6.554611 -0.840685) + (xy -6.604539 -0.864932) + (xy -6.681597 -0.886783) + (xy -6.695722 -0.889615) + (xy -6.801555 -0.909393) + (xy -6.999111 -0.909393) + (xy -6.999111 -1.072444) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 5fcf008f-625c-47b0-b6e1-bd2f2b65b14f)) + (fp_poly (pts + (xy 0.358248 -1.062164) + (xy 0.468081 -1.017957) + (xy 0.553105 -0.938103) + (xy 0.581158 -0.887125) + (xy 0.601934 -0.798145) + (xy 0.586484 -0.706337) + (xy 0.531349 -0.603457) + (xy 0.433069 -0.481261) + (xy 0.392333 -0.437146) + (xy 0.245812 -0.282222) + (xy 0.620889 -0.282222) + (xy 0.620889 -0.112889) + (xy -0.056444 -0.112889) + (xy -0.056444 -0.183149) + (xy -0.042114 -0.231491) + (xy 0.004069 -0.29782) + (xy 0.08689 -0.388197) + (xy 0.169333 -0.469116) + (xy 0.275701 -0.574166) + (xy 0.344584 -0.65169) + (xy 0.38225 -0.709827) + (xy 0.394964 -0.756714) + (xy 0.395111 -0.762289) + (xy 0.381097 -0.832245) + (xy 0.354331 -0.8736) + (xy 0.284853 -0.899446) + (xy 0.211071 -0.883088) + (xy 0.15797 -0.830572) + (xy 0.155586 -0.8255) + (xy 0.11585 -0.777342) + (xy 0.044054 -0.762087) + (xy 0.035641 -0.762) + (xy -0.034312 -0.777218) + (xy -0.057205 -0.820772) + (xy -0.032826 -0.889509) + (xy 0.022082 -0.961885) + (xy 0.121032 -1.036029) + (xy 0.237826 -1.068821) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 63a666b4-6a5d-40e8-928a-fd8b349d8b08)) + (fp_poly (pts + (xy -4.691944 0.598535) + (xy -4.582012 0.603529) + (xy -4.516313 0.611312) + (xy -4.482666 0.626481) + (xy -4.468888 0.653628) + (xy -4.464292 0.684389) + (xy -4.455362 0.762) + (xy -4.910667 0.762) + (xy -4.910667 0.590293) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 646accc8-61b1-4e3f-8df0-8a2d4fa70f69)) + (fp_poly (pts + (xy -6.970889 2.935111) + (xy -7.394222 2.935111) + (xy -7.394222 3.132667) + (xy -6.999111 3.132667) + (xy -6.999111 3.302) + (xy -7.394222 3.302) + (xy -7.394222 3.527778) + (xy -6.970889 3.527778) + (xy -6.970889 3.697111) + (xy -7.62 3.697111) + (xy -7.62 2.765778) + (xy -6.970889 2.765778) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 6787977f-5b63-4374-9ec9-58f9d28465b2)) + (fp_poly (pts + (xy -4.285797 -3.504382) + (xy -4.275661 -3.499553) + (xy -4.155156 -3.411621) + (xy -4.074816 -3.286701) + (xy -4.037898 -3.130511) + (xy -4.035778 -3.078529) + (xy -4.056879 -2.901558) + (xy -4.11968 -2.761642) + (xy -4.223421 -2.659897) + (xy -4.360333 -2.599307) + (xy -4.435899 -2.579034) + (xy -4.483226 -2.57259) + (xy -4.528676 -2.581013) + (xy -4.59861 -2.605342) + (xy -4.609146 -2.609111) + (xy -4.749888 -2.683046) + (xy -4.845819 -2.78971) + (xy -4.89853 -2.931517) + (xy -4.910667 -3.066405) + (xy -4.909399 -3.075667) + (xy -4.707348 -3.075667) + (xy -4.692553 -2.961284) + (xy -4.647653 -2.867365) + (xy -4.579673 -2.801569) + (xy -4.495641 -2.771557) + (xy -4.402583 -2.784988) + (xy -4.336966 -2.823445) + (xy -4.270207 -2.909869) + (xy -4.240467 -3.027042) + (xy -4.250785 -3.160644) + (xy -4.258899 -3.191373) + (xy -4.307867 -3.291933) + (xy -4.384242 -3.345504) + (xy -4.473222 -3.358444) + (xy -4.579052 -3.338747) + (xy -4.650822 -3.276068) + (xy -4.68501 -3.202854) + (xy -4.707348 -3.075667) + (xy -4.909399 -3.075667) + (xy -4.888236 -3.230199) + (xy -4.824187 -3.367441) + (xy -4.723386 -3.469249) + (xy -4.674282 -3.497859) + (xy -4.539791 -3.546089) + (xy -4.417164 -3.548234) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 6b3e4caf-d609-4d3f-997a-d74eaeab93fd)) + (fp_poly (pts + (xy -5.820833 -1.066185) + (xy -5.432778 -1.058333) + (xy -5.432778 -0.973666) + (xy -5.436 -0.92424) + (xy -5.455123 -0.898019) + (xy -5.504333 -0.886082) + (xy -5.580944 -0.880469) + (xy -5.729111 -0.871939) + (xy -5.729111 -0.112889) + (xy -5.926667 -0.112889) + (xy -5.926667 -0.874889) + (xy -6.208889 -0.874889) + (xy -6.208889 -1.074037) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 6dfc68a5-ce22-4845-8605-72875e25e0d3)) + (fp_poly (pts + (xy 10.237611 -0.671465) + (xy 10.347544 -0.666471) + (xy 10.413243 -0.658688) + (xy 10.44689 -0.643519) + (xy 10.460667 -0.616372) + (xy 10.465264 -0.585611) + (xy 10.474194 -0.508) + (xy 10.018889 -0.508) + (xy 10.018889 -0.679707) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 6fe0903c-c69b-40ea-a80c-8bc1702759cb)) + (fp_poly (pts + (xy -5.813778 1.665111) + (xy -6.237111 1.665111) + (xy -6.237111 1.862667) + (xy -5.842 1.862667) + (xy -5.842 2.032) + (xy -6.237111 2.032) + (xy -6.237111 2.257778) + (xy -5.813778 2.257778) + (xy -5.813778 2.427111) + (xy -6.434667 2.427111) + (xy -6.434667 1.495778) + (xy -5.813778 1.495778) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 744dd82b-f771-434d-8948-5d6360e070ce)) + (fp_poly (pts + (xy -1.639433 1.491711) + (xy -1.594267 1.508191) + (xy -1.519323 1.557386) + (xy -1.448941 1.631938) + (xy -1.398561 1.712644) + (xy -1.382994 1.770945) + (xy -1.404674 1.80195) + (xy -1.457983 1.808801) + (xy -1.524932 1.794246) + (xy -1.587532 1.761037) + (xy -1.613709 1.735667) + (xy -1.691606 1.6774) + (xy -1.787501 1.661203) + (xy -1.88201 1.686854) + (xy -1.945285 1.739473) + (xy -1.991599 1.835412) + (xy -2.007962 1.952778) + (xy -1.994886 2.070294) + (xy -1.95288 2.166681) + (xy -1.934505 2.188505) + (xy -1.841482 2.248599) + (xy -1.743096 2.254583) + (xy -1.648489 2.206894) + (xy -1.613947 2.173111) + (xy -1.539009 2.109755) + (xy -1.470662 2.087116) + (xy -1.419347 2.10077) + (xy -1.395508 2.146294) + (xy -1.409585 2.219263) + (xy -1.426589 2.252491) + (xy -1.516921 2.353279) + (xy -1.639451 2.416903) + (xy -1.780457 2.43902) + (xy -1.926214 2.415284) + (xy -1.933222 2.412871) + (xy -2.065011 2.339535) + (xy -2.157675 2.225919) + (xy -2.202814 2.111168) + (xy -2.223774 1.94628) + (xy -2.199687 1.795726) + (xy -2.137292 1.666186) + (xy -2.04333 1.564336) + (xy -1.92454 1.496855) + (xy -1.787661 1.470421) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 7671f578-1bae-4eff-a771-9330997f3260)) + (fp_poly (pts + (xy -6.801555 0.762) + (xy -7.224889 0.762) + (xy -7.224889 0.592667) + (xy -6.801555 0.592667) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 7844149d-0707-4d05-a2d4-ec6cdaa79f2a)) + (fp_poly (pts + (xy -5.048925 -1.065628) + (xy -4.885052 -1.050326) + (xy -4.769382 -1.02316) + (xy -4.694157 -0.979649) + (xy -4.651621 -0.915311) + (xy -4.634034 -0.82588) + (xy -4.635189 -0.743507) + (xy -4.661255 -0.689123) + (xy -4.70134 -0.652313) + (xy -4.74429 -0.611175) + (xy -4.74116 -0.593134) + (xy -4.735402 -0.592666) + (xy -4.668463 -0.567626) + (xy -4.617998 -0.503288) + (xy -4.59005 -0.415824) + (xy -4.590659 -0.321409) + (xy -4.611179 -0.260099) + (xy -4.660523 -0.195254) + (xy -4.735637 -0.151113) + (xy -4.845254 -0.124876) + (xy -4.998104 -0.113742) + (xy -5.0701 -0.112889) + (xy -5.305778 -0.112889) + (xy -5.305778 -0.282222) + (xy -5.108222 -0.282222) + (xy -4.986867 -0.282222) + (xy -4.903704 -0.289671) + (xy -4.842699 -0.308297) + (xy -4.831644 -0.316089) + (xy -4.799313 -0.378426) + (xy -4.81395 -0.441459) + (xy -4.867117 -0.495096) + (xy -4.950378 -0.529249) + (xy -5.015724 -0.536222) + (xy -5.072568 -0.53313) + (xy -5.099487 -0.513618) + (xy -5.107663 -0.462335) + (xy -5.108222 -0.409222) + (xy -5.108222 -0.282222) + (xy -5.305778 -0.282222) + (xy -5.305778 -0.790222) + (xy -5.108222 -0.790222) + (xy -5.105403 -0.717961) + (xy -5.086629 -0.685852) + (xy -5.036423 -0.677602) + (xy -5.000978 -0.677333) + (xy -4.92196 -0.685758) + (xy -4.865602 -0.7065) + (xy -4.859867 -0.7112) + (xy -4.826387 -0.772255) + (xy -4.841832 -0.829357) + (xy -4.898823 -0.874619) + (xy -4.989975 -0.900155) + (xy -5.039504 -0.903111) + (xy -5.083828 -0.896644) + (xy -5.103524 -0.866713) + (xy -5.108208 -0.797525) + (xy -5.108222 -0.790222) + (xy -5.305778 -0.790222) + (xy -5.305778 -1.080273) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 7c24c359-d661-42c2-9a46-445b498fe842)) + (fp_poly (pts + (xy -9.265082 1.499167) + (xy -9.22863 1.514474) + (xy -9.18892 1.549406) + (xy -9.138245 1.61167) + (xy -9.068898 1.708974) + (xy -9.016708 1.785056) + (xy -8.819444 2.074334) + (xy -8.811402 1.785056) + (xy -8.803359 1.495778) + (xy -8.607778 1.495778) + (xy -8.607778 2.427111) + (xy -8.701207 2.427111) + (xy -8.742706 2.423866) + (xy -8.779306 2.40897) + (xy -8.818812 2.374683) + (xy -8.869026 2.313265) + (xy -8.937752 2.216976) + (xy -8.990485 2.140175) + (xy -9.186333 1.853238) + (xy -9.194376 2.140175) + (xy -9.202419 2.427111) + (xy -9.398 2.427111) + (xy -9.398 1.495778) + (xy -9.305985 1.495778) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 7df27f6c-ef86-4a62-8a83-e3e34dae6554)) + (fp_poly (pts + (xy -9.872838 2.768632) + (xy -9.838532 2.786364) + (xy -9.816871 2.832732) + (xy -9.796982 2.913945) + (xy -9.769052 3.028064) + (xy -9.73387 3.15876) + (xy -9.712846 3.231445) + (xy -9.662043 3.400778) + (xy -9.596649 3.113865) + (xy -9.567529 2.991212) + (xy -9.540854 2.887957) + (xy -9.520166 2.817339) + (xy -9.511143 2.794412) + (xy -9.472061 2.774933) + (xy -9.402887 2.770117) + (xy -9.392473 2.77088) + (xy -9.293913 2.779889) + (xy -9.423568 3.238467) + (xy -9.553222 3.697044) + (xy -9.654981 3.697078) + (xy -9.75674 3.697111) + (xy -9.838242 3.414889) + (xy -9.87337 3.298383) + (xy -9.904264 3.205278) + (xy -9.926996 3.146883) + (xy -9.936268 3.132667) + (xy -9.950185 3.158047) + (xy -9.974791 3.226621) + (xy -10.006252 3.327039) + (xy -10.031611 3.414889) + (xy -10.110431 3.697111) + (xy -10.212827 3.696982) + (xy -10.315222 3.696853) + (xy -10.438979 3.266593) + (xy -10.482113 3.117301) + (xy -10.520436 2.985907) + (xy -10.550962 2.882559) + (xy -10.570703 2.817403) + (xy -10.576054 2.801056) + (xy -10.56504 2.776734) + (xy -10.504898 2.766394) + (xy -10.474552 2.765778) + (xy -10.427411 2.765957) + (xy -10.392814 2.77191) + (xy -10.366533 2.791755) + (xy -10.344342 2.833614) + (xy -10.322016 2.905608) + (xy -10.295327 3.015856) + (xy -10.260049 3.17248) + (xy -10.257898 3.182056) + (xy -10.234815 3.280356) + (xy -10.2159 3.352835) + (xy -10.204734 3.385932) + (xy -10.203887 3.386667) + (xy -10.194064 3.361127) + (xy -10.173438 3.291752) + (xy -10.145117 3.189413) + (xy -10.115127 3.076222) + (xy -10.034399 2.765778) + (xy -9.932357 2.765778) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 7e5ed737-2cf1-4fbf-a311-0c1899f0eac6)) + (fp_poly (pts + (xy -9.265082 -3.524388) + (xy -9.22863 -3.509082) + (xy -9.18892 -3.47415) + (xy -9.138245 -3.411886) + (xy -9.068898 -3.314581) + (xy -9.016708 -3.2385) + (xy -8.819444 -2.949222) + (xy -8.811402 -3.2385) + (xy -8.803359 -3.527778) + (xy -8.607778 -3.527778) + (xy -8.607778 -2.596444) + (xy -8.701429 -2.596444) + (xy -8.742842 -2.599611) + (xy -8.779218 -2.614274) + (xy -8.818298 -2.648173) + (xy -8.867824 -2.70905) + (xy -8.935536 -2.804646) + (xy -8.990706 -2.885722) + (xy -9.186333 -3.175) + (xy -9.202419 -2.596444) + (xy -9.398 -2.596444) + (xy -9.398 -3.527778) + (xy -9.305985 -3.527778) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 81ee70c6-6e90-4312-8d1e-6e143380bfad)) + (fp_poly (pts + (xy 6.992928 1.499023) + (xy 7.029528 1.513919) + (xy 7.069034 1.548207) + (xy 7.119249 1.609625) + (xy 7.187975 1.705914) + (xy 7.240707 1.782715) + (xy 7.436556 2.069651) + (xy 7.444598 1.782715) + (xy 7.452641 1.495778) + (xy 7.648222 1.495778) + (xy 7.648222 2.427111) + (xy 7.5565 2.426552) + (xy 7.515581 2.422802) + (xy 7.478899 2.406965) + (xy 7.438737 2.371323) + (xy 7.387377 2.308159) + (xy 7.317102 2.209754) + (xy 7.267222 2.137274) + (xy 7.069667 1.848556) + (xy 7.061624 2.137834) + (xy 7.053581 2.427111) + (xy 6.858 2.427111) + (xy 6.858 1.495778) + (xy 6.951429 1.495778) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 82281e98-ef57-4773-ad79-b4e559f1d2f1)) + (fp_poly (pts + (xy -6.859078 1.50643) + (xy -6.726466 1.540224) + (xy -6.637926 1.59992) + (xy -6.589174 1.688274) + (xy -6.575778 1.794841) + (xy -6.591162 1.880376) + (xy -6.629561 1.965492) + (xy -6.679344 2.027607) + (xy -6.703279 2.042398) + (xy -6.722647 2.058283) + (xy -6.721052 2.090827) + (xy -6.69546 2.150565) + (xy -6.645449 2.243374) + (xy -6.542698 2.427111) + (xy -6.65636 2.427111) + (xy -6.718071 2.424404) + (xy -6.760383 2.40884) + (xy -6.796741 2.369254) + (xy -6.84059 2.294482) + (xy -6.860277 2.257778) + (xy -6.915496 2.162476) + (xy -6.959485 2.109765) + (xy -7.001511 2.089641) + (xy -7.017154 2.088445) + (xy -7.054119 2.092576) + (xy -7.07408 2.113775) + (xy -7.082234 2.16525) + (xy -7.083778 2.257778) + (xy -7.083778 2.427111) + (xy -7.281333 2.427111) + (xy -7.281333 1.792111) + (xy -7.083778 1.792111) + (xy -7.081618 1.870148) + (xy -7.06736 1.907102) + (xy -7.029338 1.918331) + (xy -6.987308 1.919111) + (xy -6.907412 1.914241) + (xy -6.847524 1.902475) + (xy -6.846197 1.901981) + (xy -6.813072 1.863185) + (xy -6.802358 1.79738) + (xy -6.815403 1.729924) + (xy -6.835422 1.698978) + (xy -6.884393 1.676685) + (xy -6.961325 1.665439) + (xy -6.976533 1.665111) + (xy -7.083778 1.665111) + (xy -7.083778 1.792111) + (xy -7.281333 1.792111) + (xy -7.281333 1.495778) + (xy -7.040043 1.495778) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 82653a8e-2c02-4439-8bca-b19458ce56d6)) + (fp_poly (pts + (xy 5.957187 -1.055092) + (xy 5.994595 -1.023113) + (xy 6.04296 -0.963731) + (xy 6.109754 -0.869473) + (xy 6.167579 -0.784505) + (xy 6.364111 -0.493889) + (xy 6.392333 -1.058333) + (xy 6.589889 -1.058333) + (xy 6.605365 -0.112889) + (xy 6.509856 -0.112889) + (xy 6.467555 -0.115919) + (xy 6.430785 -0.130193) + (xy 6.391634 -0.163479) + (xy 6.342194 -0.223551) + (xy 6.274556 -0.31818) + (xy 6.219896 -0.397781) + (xy 6.025445 -0.682673) + (xy 6.009359 -0.112889) + (xy 5.910683 -0.112889) + (xy 5.840608 -0.122361) + (xy 5.799925 -0.145663) + (xy 5.798014 -0.149351) + (xy 5.793657 -0.189072) + (xy 5.790881 -0.274514) + (xy 5.789824 -0.394971) + (xy 5.790627 -0.539739) + (xy 5.791844 -0.622074) + (xy 5.799667 -1.058333) + (xy 5.885357 -1.066727) + (xy 5.923265 -1.06714) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 84eb2f6f-c6b8-4c52-8b2a-e8a7557fd12e)) + (fp_poly (pts + (xy 0.169333 2.932638) + (xy -0.239889 2.949222) + (xy -0.248884 3.042976) + (xy -0.251689 3.104511) + (xy -0.237705 3.121438) + (xy -0.20135 3.106476) + (xy -0.093016 3.077027) + (xy 0.016105 3.09443) + (xy 0.113566 3.150664) + (xy 0.18692 3.23771) + (xy 0.223719 3.347547) + (xy 0.225778 3.381679) + (xy 0.199433 3.505406) + (xy 0.127062 3.609611) + (xy 0.018665 3.681656) + (xy -0.022981 3.696128) + (xy -0.093059 3.715078) + (xy -0.137044 3.720355) + (xy -0.183634 3.711835) + (xy -0.241444 3.695184) + (xy -0.335882 3.647856) + (xy -0.413147 3.574148) + (xy -0.454887 3.491986) + (xy -0.456166 3.485445) + (xy -0.444431 3.437358) + (xy -0.398701 3.416728) + (xy -0.33438 3.4237) + (xy -0.266872 3.45842) + (xy -0.239325 3.483386) + (xy -0.183934 3.532471) + (xy -0.138226 3.555712) + (xy -0.134627 3.556) + (xy -0.063829 3.532795) + (xy -0.002625 3.476868) + (xy 0.027663 3.408754) + (xy 0.028222 3.399178) + (xy 0.007581 3.321148) + (xy -0.043697 3.25957) + (xy -0.109644 3.229294) + (xy -0.150776 3.232714) + (xy -0.219209 3.247102) + (xy -0.308865 3.255878) + (xy -0.324555 3.25649) + (xy -0.437444 3.259667) + (xy -0.427255 3.033889) + (xy -0.420995 2.925575) + (xy -0.413479 2.83973) + (xy -0.406101 2.791422) + (xy -0.404423 2.786945) + (xy -0.372601 2.777994) + (xy -0.297054 2.770918) + (xy -0.190493 2.766632) + (xy -0.111223 2.765778) + (xy 0.169333 2.765778) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 87057bc9-c070-4355-8070-fe7b85ff3caf)) + (fp_poly (pts + (xy -0.818444 -3.443111) + (xy -0.821527 -3.39299) + (xy -0.840483 -3.367997) + (xy -0.889871 -3.359395) + (xy -0.959555 -3.358444) + (xy -1.100667 -3.358444) + (xy -1.100667 -2.596444) + (xy -1.298222 -2.596444) + (xy -1.298222 -3.355495) + (xy -1.446389 -3.364025) + (xy -1.533232 -3.371403) + (xy -1.57869 -3.38608) + (xy -1.597816 -3.416309) + (xy -1.603486 -3.450166) + (xy -1.612416 -3.527778) + (xy -0.818444 -3.527778) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 89c9fc46-ad51-4049-94e1-0f2bc17909a1)) + (fp_poly (pts + (xy -9.141147 -1.065628) + (xy -8.976851 -1.049582) + (xy -8.859539 -1.020146) + (xy -8.780448 -0.972754) + (xy -8.73081 -0.902839) + (xy -8.70958 -0.840779) + (xy -8.698761 -0.70356) + (xy -8.739008 -0.585557) + (xy -8.781774 -0.53156) + (xy -8.841445 -0.471888) + (xy -8.760492 -0.313555) + (xy -8.716656 -0.227581) + (xy -8.683478 -0.162076) + (xy -8.669529 -0.134055) + (xy -8.687985 -0.120994) + (xy -8.746248 -0.113549) + (xy -8.774353 -0.112889) + (xy -8.83672 -0.115425) + (xy -8.878733 -0.130587) + (xy -8.913793 -0.1697) + (xy -8.955299 -0.244093) + (xy -8.974667 -0.282222) + (xy -9.025988 -0.376272) + (xy -9.066812 -0.428417) + (xy -9.107007 -0.449397) + (xy -9.130295 -0.451555) + (xy -9.169176 -0.447918) + (xy -9.190169 -0.428172) + (xy -9.198761 -0.379068) + (xy -9.200443 -0.287355) + (xy -9.200444 -0.282222) + (xy -9.200444 -0.112889) + (xy -9.398 -0.112889) + (xy -9.398 -0.910325) + (xy -9.200444 -0.910325) + (xy -9.200444 -0.620889) + (xy -9.094611 -0.621321) + (xy -9.014291 -0.627879) + (xy -8.955338 -0.643407) + (xy -8.949972 -0.646333) + (xy -8.921395 -0.692001) + (xy -8.911677 -0.762071) + (xy -8.922889 -0.827056) + (xy -8.935861 -0.847339) + (xy -8.977326 -0.866832) + (xy -9.051378 -0.885918) + (xy -9.0805 -0.891162) + (xy -9.200444 -0.910325) + (xy -9.398 -0.910325) + (xy -9.398 -1.080273) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 8aa6255c-fba6-4162-b0de-799768492c1b)) + (fp_poly (pts + (xy -2.91299 0.515056) + (xy -2.908678 0.649295) + (xy -2.902866 0.736916) + (xy -2.893496 0.787742) + (xy -2.878514 0.811594) + (xy -2.855862 0.818296) + (xy -2.84949 0.818445) + (xy -2.80876 0.832186) + (xy -2.794505 0.882766) + (xy -2.794 0.903111) + (xy -2.80287 0.965085) + (xy -2.835874 0.986904) + (xy -2.850444 0.987778) + (xy -2.89176 1.001083) + (xy -2.906307 1.050589) + (xy -2.906889 1.072445) + (xy -2.912395 1.129329) + (xy -2.940325 1.15266) + (xy -3.005667 1.157111) + (xy -3.072032 1.152391) + (xy -3.099252 1.128451) + (xy -3.104444 1.072445) + (xy -3.104444 0.987778) + (xy -3.556 0.987778) + (xy -3.556 0.903807) + (xy -3.537645 0.831731) + (xy -3.523113 0.801532) + (xy -3.330222 0.801532) + (xy -3.305162 0.812127) + (xy -3.242564 0.818016) + (xy -3.217333 0.818445) + (xy -3.104444 0.818445) + (xy -3.104444 0.635) + (xy -3.105862 0.541565) + (xy -3.109571 0.475491) + (xy -3.114544 0.451556) + (xy -3.133423 0.473532) + (xy -3.171265 0.52952) + (xy -3.218882 0.604608) + (xy -3.267085 0.683888) + (xy -3.306685 0.752447) + (xy -3.328493 0.795375) + (xy -3.330222 0.801532) + (xy -3.523113 0.801532) + (xy -3.482966 0.718103) + (xy -3.392541 0.564083) + (xy -3.362092 0.515751) + (xy -3.168184 0.211667) + (xy -2.921 0.211667) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 8d08af51-977d-42d4-95e6-425db51e50ed)) + (fp_poly (pts + (xy 8.551333 1.580445) + (xy 8.54825 1.630565) + (xy 8.529295 1.655559) + (xy 8.479906 1.664161) + (xy 8.410222 1.665111) + (xy 8.269111 1.665111) + (xy 8.269111 2.427111) + (xy 8.043333 2.427111) + (xy 8.043333 1.668204) + (xy 7.909278 1.659602) + (xy 7.827501 1.651275) + (xy 7.78629 1.633688) + (xy 7.769766 1.597268) + (xy 7.766292 1.573389) + (xy 7.757362 1.495778) + (xy 8.551333 1.495778) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 9011465d-136b-4379-aafe-ae3a4f035030)) + (fp_poly (pts + (xy -0.478413 -1.058817) + (xy -0.365148 -1.004514) + (xy -0.274731 -0.910569) + (xy -0.254277 -0.875431) + (xy -0.215117 -0.755572) + (xy -0.198144 -0.608798) + (xy -0.204196 -0.460448) + (xy -0.234113 -0.33586) + (xy -0.236252 -0.330704) + (xy -0.313464 -0.210407) + (xy -0.419322 -0.130241) + (xy -0.542691 -0.094645) + (xy -0.672437 -0.108057) + (xy -0.732818 -0.132742) + (xy -0.835099 -0.215495) + (xy -0.906949 -0.333992) + (xy -0.946991 -0.475586) + (xy -0.950882 -0.561834) + (xy -0.733778 -0.561834) + (xy -0.7298 -0.458576) + (xy -0.713982 -0.391373) + (xy -0.680495 -0.340156) + (xy -0.664505 -0.323273) + (xy -0.610112 -0.272699) + (xy -0.572448 -0.257246) + (xy -0.529474 -0.271008) + (xy -0.509113 -0.281626) + (xy -0.451355 -0.341592) + (xy -0.413598 -0.439096) + (xy -0.39828 -0.558034) + (xy -0.407845 -0.682301) + (xy -0.434565 -0.773572) + (xy -0.479676 -0.858337) + (xy -0.530004 -0.895569) + (xy -0.597631 -0.893758) + (xy -0.603543 -0.892333) + (xy -0.67095 -0.848539) + (xy -0.713837 -0.756359) + (xy -0.732717 -0.614487) + (xy -0.733778 -0.561834) + (xy -0.950882 -0.561834) + (xy -0.95385 -0.62763) + (xy -0.926148 -0.777475) + (xy -0.86251 -0.912476) + (xy -0.823199 -0.963435) + (xy -0.720649 -1.040321) + (xy -0.601317 -1.071434) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 91911ad9-7ee4-4da1-980c-5c436ea31a66)) + (fp_poly (pts + (xy -6.237525 2.770189) + (xy -5.955718 2.779889) + (xy -5.850023 2.88564) + (xy -5.794567 2.944891) + (xy -5.761912 2.99688) + (xy -5.74491 3.060783) + (xy -5.736412 3.155778) + (xy -5.734312 3.196085) + (xy -5.731385 3.310058) + (xy -5.738595 3.387408) + (xy -5.759559 3.447581) + (xy -5.790766 3.499556) + (xy -5.869512 3.591067) + (xy -5.967137 3.651496) + (xy -6.094838 3.685302) + (xy -6.263813 3.696947) + (xy -6.2865 3.697067) + (xy -6.519333 3.697111) + (xy -6.519333 3.527778) + (xy -6.321778 3.527778) + (xy -6.224328 3.527778) + (xy -6.134168 3.518263) + (xy -6.056902 3.495895) + (xy -5.982115 3.432134) + (xy -5.939516 3.328162) + (xy -5.932148 3.192103) + (xy -5.934363 3.168591) + (xy -5.964746 3.049497) + (xy -6.027458 2.974837) + (xy -6.128195 2.939564) + (xy -6.197904 2.935111) + (xy -6.321778 2.935111) + (xy -6.321778 3.527778) + (xy -6.519333 3.527778) + (xy -6.519333 2.760489) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 936883db-e1ba-4978-b128-82a7d9414e8d)) + (fp_poly (pts + (xy -5.813778 -3.358444) + (xy -6.237111 -3.358444) + (xy -6.237111 -3.160889) + (xy -5.842 -3.160889) + (xy -5.842 -2.991555) + (xy -6.237111 -2.991555) + (xy -6.237111 -2.765778) + (xy -5.813778 -2.765778) + (xy -5.813778 -2.596444) + (xy -6.434667 -2.596444) + (xy -6.434667 -3.527778) + (xy -5.813778 -3.527778) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 939c6635-2677-4d5f-9389-980094878283)) + (fp_poly (pts + (xy -11.401778 3.668889) + (xy -13.659555 3.668889) + (xy -13.659555 3.132667) + (xy -11.401778 3.132667) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 977131f1-e3d6-4fd0-8d01-a7feb44e60ca)) + (fp_poly (pts + (xy -11.166301 -0.106129) + (xy -11.217352 -0.039467) + (xy -11.294156 0.051383) + (xy -11.389939 0.158259) + (xy -11.444111 0.216518) + (xy -11.557247 0.337396) + (xy -11.637184 0.426062) + (xy -11.689679 0.491147) + (xy -11.720491 0.541285) + (xy -11.735377 0.585108) + (xy -11.740096 0.631249) + (xy -11.740444 0.660772) + (xy -11.742882 0.739867) + (xy -11.756517 0.777697) + (xy -11.790836 0.789416) + (xy -11.821475 0.790222) + (xy -11.850049 0.790284) + (xy -11.872181 0.794988) + (xy -11.889433 0.811112) + (xy -11.903366 0.845433) + (xy -11.915542 0.904728) + (xy -11.927523 0.995776) + (xy -11.940869 1.125353) + (xy -11.957142 1.300237) + (xy -11.969059 1.430807) + (xy -12.00311 1.80328) + (xy -11.66011 2.16025) + (xy -11.548765 2.277518) + (xy -11.452511 2.381542) + (xy -11.377677 2.465263) + (xy -11.330591 2.521627) + (xy -11.317111 2.542722) + (xy -11.325164 2.56493) + (xy -11.352299 2.558364) + (xy -11.40298 2.519594) + (xy -11.481669 2.445188) + (xy -11.592831 2.331716) + (xy -11.596124 2.328287) + (xy -11.826472 2.088351) + (xy -11.855124 2.163709) + (xy -11.89361 2.233503) + (xy -11.932054 2.275747) + (xy -12.017103 2.309089) + (xy -12.116467 2.305477) + (xy -12.203338 2.266621) + (xy -12.21372 2.257778) + (xy -12.25055 2.230738) + (xy -12.299215 2.213849) + (xy -12.372793 2.204871) + (xy -12.484363 2.201567) + (xy -12.543598 2.201334) + (xy -12.668534 2.202179) + (xy -12.747483 2.206175) + (xy -12.790892 2.215512) + (xy -12.809211 2.23238) + (xy -12.812889 2.257778) + (xy -12.830471 2.302918) + (xy -12.879548 2.314222) + (xy -12.918921 2.307476) + (xy -12.944851 2.278558) + (xy -12.96538 2.214449) + (xy -12.978326 2.154293) + (xy -12.983107 2.126464) + (xy -12.163579 2.126464) + (xy -12.145714 2.181628) + (xy -12.143667 2.183814) + (xy -12.103025 2.196176) + (xy -12.051945 2.195574) + (xy -11.999343 2.178238) + (xy -11.981255 2.131267) + (xy -11.980333 2.105632) + (xy -11.997374 2.029748) + (xy -12.04 1.987065) + (xy -12.095463 1.98712) + (xy -12.119079 2.001815) + (xy -12.153359 2.056601) + (xy -12.163579 2.126464) + (xy -12.983107 2.126464) + (xy -12.996481 2.04862) + (xy -13.008126 1.951517) + (xy -13.010444 1.907741) + (xy -13.012368 1.880414) + (xy -12.925778 1.880414) + (xy -12.924653 1.981221) + (xy -12.914912 2.048299) + (xy -12.887009 2.088515) + (xy -12.831395 2.108737) + (xy -12.738524 2.115832) + (xy -12.598847 2.116667) + (xy -12.597317 2.116667) + (xy -12.463443 2.115542) + (xy -12.37569 2.11107) + (xy -12.323762 2.101609) + (xy -12.29736 2.085515) + (xy -12.287856 2.067278) + (xy -12.249181 1.974823) + (xy -12.197002 1.920704) + (xy -12.169066 1.905596) + (xy -12.116614 1.871927) + (xy -12.096711 1.847471) + (xy -12.110267 1.816098) + (xy -12.155816 1.753121) + (xy -12.226244 1.66764) + (xy -12.314436 1.568754) + (xy -12.315708 1.567376) + (xy -12.546409 1.317781) + (xy -12.736094 1.525613) + (xy -12.822834 1.622115) + (xy -12.878073 1.690695) + (xy -12.908906 1.744619) + (xy -12.922424 1.797155) + (xy -12.92572 1.861568) + (xy -12.925778 1.880414) + (xy -13.012368 1.880414) + (xy -13.012431 1.879517) + (xy -13.021895 1.866725) + (xy -13.044088 1.873391) + (xy -13.084264 1.903542) + (xy -13.147677 1.961204) + (xy -13.239579 2.050406) + (xy -13.365224 2.175172) + (xy -13.384767 2.19467) + (xy -13.524715 2.33358) + (xy -13.630014 2.435914) + (xy -13.705341 2.505593) + (xy -13.755369 2.546532) + (xy -13.784773 2.562652) + (xy -13.798228 2.557869) + (xy -13.800667 2.543253) + (xy -13.78194 2.516138) + (xy -13.729744 2.455242) + (xy -13.650056 2.367154) + (xy -13.548853 2.258465) + (xy -13.432111 2.135768) + (xy -13.415699 2.118711) + (xy -13.030732 1.719139) + (xy -13.068255 1.234747) + (xy -13.095011 0.889353) + (xy -13.005405 0.889353) + (xy -13.002935 0.965639) + (xy -12.995974 1.075309) + (xy -12.985104 1.206975) + (xy -12.98489 1.209321) + (xy -12.972799 1.345897) + (xy -12.962927 1.465162) + (xy -12.956315 1.554) + (xy -12.954 1.598597) + (xy -12.939912 1.610402) + (xy -12.895963 1.58325) + (xy -12.81963 1.515344) + (xy -12.771947 1.468935) + (xy -12.589895 1.288501) + (xy -12.595052 1.28278) + (xy -12.474222 1.28278) + (xy -12.456322 1.311765) + (xy -12.409408 1.367533) + (xy -12.343657 1.439566) + (xy -12.26925 1.517342) + (xy -12.196363 1.590344) + (xy -12.135176 1.648051) + (xy -12.095868 1.679943) + (xy -12.087282 1.68269) + (xy -12.081612 1.652953) + (xy -12.071898 1.576843) + (xy -12.059266 1.464195) + (xy -12.044845 1.324843) + (xy -12.036426 1.238991) + (xy -12.022032 1.088597) + (xy -12.009769 0.958979) + (xy -12.000566 0.860098) + (xy -11.995355 0.801914) + (xy -11.994528 0.790845) + (xy -12.013101 0.80416) + (xy -12.063782 0.850338) + (xy -12.13891 0.922185) + (xy -12.230823 1.01251) + (xy -12.234333 1.016) + (xy -12.327541 1.110947) + (xy -12.403851 1.192914) + (xy -12.455374 1.253127) + (xy -12.474222 1.28278) + (xy -12.595052 1.28278) + (xy -12.789861 1.066683) + (xy -12.872772 0.977118) + (xy -12.94147 0.907427) + (xy -12.987682 0.86572) + (xy -13.002804 0.857841) + (xy -13.005405 0.889353) + (xy -13.095011 0.889353) + (xy -13.105779 0.750355) + (xy -13.138549 0.712824) + (xy -13.038667 0.712824) + (xy -12.79367 0.96319) + (xy -12.698912 1.058686) + (xy -12.618316 1.137394) + (xy -12.560004 1.191561) + (xy -12.532096 1.213433) + (xy -12.531435 1.213556) + (xy -12.504043 1.19497) + (xy -12.450441 1.146723) + (xy -12.395432 1.092543) + (xy -12.3323 1.025121) + (xy -12.289228 0.9732) + (xy -12.276667 0.951432) + (xy -12.302502 0.941526) + (xy -12.370493 0.934347) + (xy -12.466362 0.931349) + (xy -12.474222 0.931334) + (xy -12.577434 0.930296) + (xy -12.636504 0.924255) + (xy -12.663733 0.908818) + (xy -12.671418 0.879592) + (xy -12.671778 0.860778) + (xy -12.669575 0.826612) + (xy -12.655517 0.80576) + (xy -12.618429 0.794935) + (xy -12.547136 0.790852) + (xy -12.431889 0.790222) + (xy -12.315064 0.793344) + (xy -12.232334 0.801928) + (xy -12.193633 0.814807) + (xy -12.192 0.818445) + (xy -12.177773 0.846299) + (xy -12.139904 0.830644) + (xy -12.085609 0.775229) + (xy -12.069823 0.754945) + (xy -12.034599 0.689978) + (xy -11.901163 0.689978) + (xy -11.893781 0.704015) + (xy -11.857707 0.705556) + (xy -11.80771 0.68783) + (xy -11.796889 0.649111) + (xy -11.800986 0.603168) + (xy -11.806626 0.592667) + (xy -11.830457 0.611069) + (xy -11.867444 0.649111) + (xy -11.901163 0.689978) + (xy -12.034599 0.689978) + (xy -12.022728 0.668084) + (xy -11.98819 0.562173) + (xy -11.981991 0.529167) + (xy -11.962764 0.395111) + (xy -13.038667 0.395111) + (xy -13.038667 0.712824) + (xy -13.138549 0.712824) + (xy -13.205423 0.636233) + (xy -13.262606 0.572818) + (xy -13.348239 0.480421) + (xy -13.451506 0.370605) + (xy -13.561592 0.254934) + (xy -13.581089 0.2346) + (xy -13.680814 0.127224) + (xy -13.764453 0.030499) + (xy -13.824834 -0.046766) + (xy -13.854786 -0.09576) + (xy -13.856772 -0.104067) + (xy -13.83844 -0.104199) + (xy -13.785004 -0.063457) + (xy -13.698383 0.016428) + (xy -13.580495 0.133724) + (xy -13.491295 0.225778) + (xy -13.363799 0.358491) + (xy -13.27005 0.454439) + (xy -13.204834 0.517698) + (xy -13.162937 0.552346) + (xy -13.139147 0.562459) + (xy -13.128249 0.552115) + (xy -13.12503 0.525389) + (xy -13.124745 0.512414) + (xy -13.133352 0.440879) + (xy -13.167313 0.40734) + (xy -13.179778 0.403289) + (xy -13.221917 0.3766) + (xy -13.236043 0.339337) + (xy -13.217283 0.312929) + (xy -13.200944 0.3102) + (xy -13.161138 0.2919) + (xy -13.148291 0.282222) + (xy -13.024555 0.282222) + (xy -13.022318 0.308229) + (xy -13.01211 0.310445) + (xy -12.983371 0.289958) + (xy -12.982222 0.282222) + (xy -12.991851 0.254734) + (xy -12.994668 0.254) + (xy -12.841111 0.254) + (xy -12.838416 0.275359) + (xy -12.824841 0.29052) + (xy -12.79215 0.300544) + (xy -12.732109 0.306487) + (xy -12.636483 0.30941) + (xy -12.497036 0.31037) + (xy -12.402001 0.310445) + (xy -12.225496 0.308769) + (xy -12.09384 0.303897) + (xy -12.010632 0.296063) + (xy -11.979473 0.285501) + (xy -11.979853 0.283) + (xy -12.015492 0.258497) + (xy -12.084507 0.229963) + (xy -12.113579 0.220572) + (xy -12.191102 0.201382) + (xy -12.230137 0.20441) + (xy -12.241746 0.219794) + (xy -12.277718 0.240725) + (xy -12.366923 0.252017) + (xy -12.448352 0.254) + (xy -12.553588 0.251762) + (xy -12.613636 0.24332) + (xy -12.639636 0.226088) + (xy -12.643555 0.208647) + (xy -12.660387 0.176772) + (xy -12.688197 0.180425) + (xy -12.755693 0.195465) + (xy -12.786975 0.197556) + (xy -12.832479 0.218615) + (xy -12.841111 0.254) + (xy -12.994668 0.254) + (xy -13.018763 0.273776) + (xy -13.024555 0.282222) + (xy -13.148291 0.282222) + (xy -13.099766 0.245667) + (xy -13.06518 0.214689) + (xy -13.019834 0.174607) + (xy -12.992606 0.156808) + (xy -12.524813 0.156808) + (xy -12.490891 0.161484) + (xy -12.460111 0.162216) + (xy -12.402027 0.159945) + (xy -12.387036 0.153549) + (xy -12.396282 0.149943) + (xy -12.464056 0.144686) + (xy -12.509171 0.149373) + (xy -12.524813 0.156808) + (xy -12.992606 0.156808) + (xy -12.974235 0.144799) + (xy -12.918168 0.122622) + (xy -12.841416 0.105434) + (xy -12.73376 0.090591) + (xy -12.584985 0.075452) + (xy -12.495083 0.067223) + (xy -12.384486 0.061881) + (xy -12.303224 0.067057) + (xy -12.269305 0.078206) + (xy -12.218987 0.103065) + (xy -12.143396 0.125794) + (xy -12.135969 0.127442) + (xy -12.048945 0.154057) + (xy -11.975953 0.188916) + (xy -11.974933 0.189578) + (xy -11.918076 0.214071) + (xy -11.882544 0.212278) + (xy -11.833483 0.204914) + (xy -11.796636 0.211747) + (xy -11.749426 0.249785) + (xy -11.740109 0.308294) + (xy -11.767168 0.367338) + (xy -11.811 0.400246) + (xy -11.857356 0.434022) + (xy -11.882328 0.474154) + (xy -11.877827 0.50314) + (xy -11.860796 0.508) + (xy -11.834991 0.489014) + (xy -11.776374 0.436372) + (xy -11.691827 0.35655) + (xy -11.588231 0.256025) + (xy -11.493907 0.162701) + (xy -11.382038 0.053373) + (xy -11.285226 -0.036898) + (xy -11.209771 -0.102598) + (xy -11.161969 -0.138209) + (xy -11.147778 -0.140441) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 9aa2c143-8abc-4340-a252-b75798a8f55e)) + (fp_poly (pts + (xy -7.709848 -3.450166) + (xy -7.720631 -3.402685) + (xy -7.749262 -3.37816) + (xy -7.811621 -3.367019) + (xy -7.852833 -3.363954) + (xy -7.986889 -3.355352) + (xy -7.986889 -2.596444) + (xy -8.212667 -2.596444) + (xy -8.212667 -3.355352) + (xy -8.346722 -3.363954) + (xy -8.428499 -3.372281) + (xy -8.46971 -3.389867) + (xy -8.486234 -3.426288) + (xy -8.489708 -3.450166) + (xy -8.498638 -3.527778) + (xy -7.700917 -3.527778) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 9c9c9b51-c4b0-46de-81fd-a7b84bec6448)) + (fp_poly (pts + (xy -7.709848 1.573389) + (xy -7.720631 1.620871) + (xy -7.749262 1.645395) + (xy -7.811621 1.656537) + (xy -7.852833 1.659602) + (xy -7.986889 1.668204) + (xy -7.986889 2.427111) + (xy -8.212667 2.427111) + (xy -8.212667 1.665111) + (xy -8.353778 1.665111) + (xy -8.437312 1.663262) + (xy -8.478968 1.651888) + (xy -8.493304 1.622255) + (xy -8.494889 1.580445) + (xy -8.494889 1.495778) + (xy -7.700917 1.495778) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp a035c551-ae8c-4fb5-b6a6-5adfb7b80f5b)) + (fp_poly (pts + (xy -3.498069 -3.526917) + (xy -3.414245 -3.522159) + (xy -3.358965 -3.510243) + (xy -3.31828 -3.487907) + (xy -3.278242 -3.451889) + (xy -3.271607 -3.445282) + (xy -3.205031 -3.341963) + (xy -3.184911 -3.222085) + (xy -3.211726 -3.101832) + (xy -3.25916 -3.025526) + (xy -3.32921 -2.942277) + (xy -3.24556 -2.790527) + (xy -3.201331 -2.70722) + (xy -3.170816 -2.643885) + (xy -3.1614 -2.617611) + (xy -3.185993 -2.604024) + (xy -3.247616 -2.596858) + (xy -3.266722 -2.596525) + (xy -3.325873 -2.600017) + (xy -3.36702 -2.618089) + (xy -3.403735 -2.662305) + (xy -3.449592 -2.744226) + (xy -3.457222 -2.758803) + (xy -3.509384 -2.850599) + (xy -3.551355 -2.900613) + (xy -3.59347 -2.919644) + (xy -3.612444 -2.921) + (xy -3.652451 -2.916712) + (xy -3.674701 -2.894858) + (xy -3.68576 -2.841956) + (xy -3.691464 -2.758722) + (xy -3.699928 -2.596444) + (xy -3.894667 -2.596444) + (xy -3.894667 -3.104444) + (xy -3.697111 -3.104444) + (xy -3.575755 -3.104444) + (xy -3.492593 -3.111893) + (xy -3.431588 -3.130519) + (xy -3.420533 -3.138311) + (xy -3.392258 -3.193332) + (xy -3.386667 -3.231444) + (xy -3.405418 -3.303579) + (xy -3.465009 -3.344785) + (xy -3.570447 -3.358424) + (xy -3.575755 -3.358444) + (xy -3.697111 -3.358444) + (xy -3.697111 -3.104444) + (xy -3.894667 -3.104444) + (xy -3.894667 -3.527778) + (xy -3.624385 -3.527778) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp a0a8294d-6c40-40d9-993e-e46c89e3f222)) + (fp_poly (pts + (xy 7.038781 -3.541639) + (xy 7.167868 -3.491785) + (xy 7.265474 -3.403827) + (xy 7.28061 -3.381309) + (xy 7.325813 -3.295664) + (xy 7.331957 -3.244752) + (xy 7.29769 -3.221302) + (xy 7.250117 -3.217333) + (xy 7.157649 -3.241507) + (xy 7.106958 -3.287889) + (xy 7.029061 -3.346156) + (xy 6.933166 -3.362353) + (xy 6.838656 -3.336702) + (xy 6.775382 -3.284083) + (xy 6.729068 -3.188144) + (xy 6.712705 -3.070777) + (xy 6.725781 -2.953262) + (xy 6.767786 -2.856875) + (xy 6.786162 -2.83505) + (xy 6.879185 -2.774956) + (xy 6.97757 -2.768973) + (xy 7.072177 -2.816661) + (xy 7.10672 -2.850444) + (xy 7.175472 -2.908287) + (xy 7.245601 -2.936088) + (xy 7.303433 -2.932373) + (xy 7.335292 -2.895668) + (xy 7.337778 -2.875346) + (xy 7.316532 -2.817482) + (xy 7.263037 -2.745174) + (xy 7.192651 -2.67391) + (xy 7.120735 -2.619179) + (xy 7.06265 -2.596469) + (xy 7.061184 -2.596444) + (xy 6.994343 -2.591117) + (xy 6.966142 -2.585276) + (xy 6.913869 -2.583644) + (xy 6.839715 -2.595168) + (xy 6.83754 -2.595678) + (xy 6.693666 -2.652655) + (xy 6.591319 -2.74643) + (xy 6.529369 -2.8786) + (xy 6.506685 -3.050756) + (xy 6.506549 -3.062111) + (xy 6.527317 -3.234717) + (xy 6.589671 -3.374132) + (xy 6.690227 -3.476078) + (xy 6.825602 -3.536281) + (xy 6.890905 -3.547963) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp a5c7fea1-12f2-439d-a08e-6d63ed7ee9ff)) + (fp_poly (pts + (xy 9.06082 1.496639) + (xy 9.144644 1.501396) + (xy 9.199924 1.513312) + (xy 9.240609 1.535649) + (xy 9.280647 1.571667) + (xy 9.287282 1.578274) + (xy 9.354126 1.681824) + (xy 9.374024 1.801714) + (xy 9.346575 1.922361) + (xy 9.298071 2) + (xy 9.226364 2.085219) + (xy 9.312182 2.23728) + (xy 9.357265 2.319998) + (xy 9.388345 2.382443) + (xy 9.398 2.408227) + (xy 9.373049 2.420353) + (xy 9.311187 2.426738) + (xy 9.292167 2.427031) + (xy 9.233016 2.423539) + (xy 9.191869 2.405466) + (xy 9.155154 2.36125) + (xy 9.109297 2.27933) + (xy 9.101667 2.264753) + (xy 9.049505 2.172957) + (xy 9.007534 2.122943) + (xy 8.965419 2.103912) + (xy 8.946445 2.102556) + (xy 8.906437 2.106844) + (xy 8.884187 2.128697) + (xy 8.873129 2.1816) + (xy 8.867425 2.264834) + (xy 8.858961 2.427111) + (xy 8.664222 2.427111) + (xy 8.664222 1.919111) + (xy 8.861778 1.919111) + (xy 8.983133 1.919111) + (xy 9.066296 1.911663) + (xy 9.127301 1.893037) + (xy 9.138356 1.885245) + (xy 9.166631 1.830223) + (xy 9.172222 1.792111) + (xy 9.153471 1.719977) + (xy 9.09388 1.678771) + (xy 8.988442 1.665131) + (xy 8.983133 1.665111) + (xy 8.861778 1.665111) + (xy 8.861778 1.919111) + (xy 8.664222 1.919111) + (xy 8.664222 1.495778) + (xy 8.934504 1.495778) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp aa67fb77-304b-4bb1-9b5d-8e37a50b3117)) + (fp_poly (pts + (xy -6.859078 -3.517126) + (xy -6.726466 -3.483331) + (xy -6.637926 -3.423636) + (xy -6.589174 -3.335281) + (xy -6.575778 -3.228715) + (xy -6.591162 -3.143179) + (xy -6.629561 -3.058063) + (xy -6.679344 -2.995949) + (xy -6.703279 -2.981157) + (xy -6.722647 -2.965272) + (xy -6.721052 -2.932728) + (xy -6.69546 -2.872991) + (xy -6.645449 -2.780182) + (xy -6.542698 -2.596444) + (xy -6.65636 -2.596444) + (xy -6.718071 -2.599151) + (xy -6.760383 -2.614715) + (xy -6.796741 -2.654301) + (xy -6.84059 -2.729074) + (xy -6.860277 -2.765778) + (xy -6.915496 -2.861079) + (xy -6.959485 -2.913791) + (xy -7.001511 -2.933915) + (xy -7.017154 -2.935111) + (xy -7.054119 -2.930979) + (xy -7.07408 -2.90978) + (xy -7.082234 -2.858306) + (xy -7.083778 -2.765778) + (xy -7.083778 -2.596444) + (xy -7.281333 -2.596444) + (xy -7.281333 -3.231444) + (xy -7.083778 -3.231444) + (xy -7.081618 -3.153407) + (xy -7.06736 -3.116454) + (xy -7.029338 -3.105224) + (xy -6.987308 -3.104444) + (xy -6.907412 -3.109314) + (xy -6.847524 -3.121081) + (xy -6.846197 -3.121575) + (xy -6.81143 -3.163564) + (xy -6.801555 -3.231444) + (xy -6.811698 -3.301012) + (xy -6.849386 -3.340228) + (xy -6.925511 -3.356539) + (xy -6.987308 -3.358444) + (xy -7.046585 -3.355601) + (xy -7.074655 -3.336831) + (xy -7.083185 -3.286776) + (xy -7.083778 -3.231444) + (xy -7.281333 -3.231444) + (xy -7.281333 -3.527778) + (xy -7.040043 -3.527778) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp ad0f0b9f-a228-44e1-9268-896bbdcf6247)) + (fp_poly (pts + (xy 4.967111 -2.765778) + (xy 5.362222 -2.765778) + (xy 5.362222 -2.596444) + (xy 4.741333 -2.596444) + (xy 4.741333 -3.527778) + (xy 4.967111 -3.527778) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp aff52b70-7c8b-445b-9863-cd83c8a83c7f)) + (fp_poly (pts + (xy 10.49192 2.253235) + (xy 10.51717 2.292701) + (xy 10.521525 2.31938) + (xy 10.510405 2.394272) + (xy 10.465032 2.432612) + (xy 10.398925 2.427905) + (xy 10.353121 2.400248) + (xy 10.309221 2.35414) + (xy 10.308583 2.313318) + (xy 10.318298 2.294824) + (xy 10.37215 2.254279) + (xy 10.431187 2.243667) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp b3400792-8ccc-4138-8e9c-e62f23cc26e2)) + (fp_poly (pts + (xy 7.806031 -1.065951) + (xy 7.963271 -1.030353) + (xy 8.083363 -0.952702) + (xy 8.165025 -0.834533) + (xy 8.206973 -0.677377) + (xy 8.212667 -0.579944) + (xy 8.198003 -0.42688) + (xy 8.149279 -0.305777) + (xy 8.059399 -0.199007) + (xy 8.059214 -0.198835) + (xy 7.967104 -0.145683) + (xy 7.844686 -0.117951) + (xy 7.71264 -0.116845) + (xy 7.591646 -0.143574) + (xy 7.540469 -0.168554) + (xy 7.448104 -0.257176) + (xy 7.382541 -0.3809) + (xy 7.346692 -0.523691) + (xy 7.345962 -0.556696) + (xy 7.550892 -0.556696) + (xy 7.57419 -0.442671) + (xy 7.625928 -0.355325) + (xy 7.699033 -0.301367) + (xy 7.786434 -0.287505) + (xy 7.881062 -0.320449) + (xy 7.921815 -0.350334) + (xy 7.967066 -0.395901) + (xy 7.990899 -0.445735) + (xy 7.999931 -0.519635) + (xy 8.001 -0.58726) + (xy 7.989106 -0.723297) + (xy 7.950785 -0.812957) + (xy 7.882082 -0.861641) + (xy 7.790353 -0.874889) + (xy 7.681338 -0.858754) + (xy 7.610032 -0.805258) + (xy 7.567011 -0.706759) + (xy 7.563104 -0.690689) + (xy 7.550892 -0.556696) + (xy 7.345962 -0.556696) + (xy 7.343467 -0.669514) + (xy 7.375776 -0.802334) + (xy 7.413347 -0.869004) + (xy 7.527404 -0.987591) + (xy 7.655895 -1.052677) + (xy 7.802701 -1.066208) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp b408162e-62b3-40e6-a64e-cf9091bcedb1)) + (fp_poly (pts + (xy -1.016 1.862667) + (xy -0.620889 1.862667) + (xy -0.620889 1.495778) + (xy -0.423333 1.495778) + (xy -0.423333 2.427111) + (xy -0.61831 2.427111) + (xy -0.635 2.046111) + (xy -1.016 2.029421) + (xy -1.016 2.427111) + (xy -1.241778 2.427111) + (xy -1.241778 1.495778) + (xy -1.016 1.495778) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp b840bc6b-26cb-40db-9318-82164571fb07)) + (fp_poly (pts + (xy -10.323607 -3.513666) + (xy -10.206526 -3.224715) + (xy -10.157896 -3.108527) + (xy -10.11563 -3.014592) + (xy -10.084705 -2.95354) + (xy -10.071039 -2.935437) + (xy -10.049674 -2.958675) + (xy -10.025492 -3.012722) + (xy -10.001888 -3.075246) + (xy -9.96366 -3.171376) + (xy -9.918146 -3.282722) + (xy -9.907209 -3.309055) + (xy -9.816066 -3.527778) + (xy -9.567333 -3.527778) + (xy -9.567333 -2.596444) + (xy -9.762914 -2.596444) + (xy -9.779 -3.175) + (xy -9.891889 -2.884653) + (xy -9.942346 -2.757419) + (xy -9.979151 -2.674337) + (xy -10.008057 -2.626558) + (xy -10.034818 -2.60523) + (xy -10.065187 -2.601502) + (xy -10.075333 -2.602431) + (xy -10.108948 -2.612284) + (xy -10.13933 -2.639358) + (xy -10.172124 -2.692745) + (xy -10.212975 -2.781536) + (xy -10.264359 -2.906889) + (xy -10.382828 -3.203222) + (xy -10.385778 -2.596444) + (xy -10.583333 -2.596444) + (xy -10.583333 -3.53087) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp bace3c9d-0c10-442d-b9a2-c91ec4e30c3e)) + (fp_poly (pts + (xy 2.598154 1.496927) + (xy 2.682727 1.502225) + (xy 2.738023 1.51445) + (xy 2.777615 1.536377) + (xy 2.809394 1.565051) + (xy 2.867015 1.655096) + (xy 2.879437 1.753674) + (xy 2.846777 1.844227) + (xy 2.807122 1.886625) + (xy 2.760192 1.925965) + (xy 2.755575 1.945615) + (xy 2.790987 1.960485) + (xy 2.795587 1.961948) + (xy 2.858905 2.008668) + (xy 2.901226 2.090253) + (xy 2.915278 2.186816) + (xy 2.903201 2.256226) + (xy 2.863419 2.329667) + (xy 2.798415 2.380153) + (xy 2.699775 2.410906) + (xy 2.559081 2.425147) + (xy 2.456735 2.427111) + (xy 2.201333 2.427111) + (xy 2.201333 2.028908) + (xy 2.398889 2.028908) + (xy 2.398889 2.260871) + (xy 2.530054 2.252269) + (xy 2.637889 2.232844) + (xy 2.692187 2.194278) + (xy 2.709478 2.129261) + (xy 2.675579 2.078453) + (xy 2.594854 2.046072) + (xy 2.530054 2.037509) + (xy 2.398889 2.028908) + (xy 2.201333 2.028908) + (xy 2.201333 1.763889) + (xy 2.398889 1.763889) + (xy 2.402513 1.827816) + (xy 2.424394 1.855722) + (xy 2.481051 1.862516) + (xy 2.508322 1.862667) + (xy 2.589611 1.852482) + (xy 2.64641 1.82706) + (xy 2.651765 1.821688) + (xy 2.67178 1.763211) + (xy 2.66743 1.72291) + (xy 2.644186 1.685522) + (xy 2.595082 1.66851) + (xy 2.523987 1.665111) + (xy 2.446712 1.667319) + (xy 2.410403 1.682088) + (xy 2.399584 1.72164) + (xy 2.398889 1.763889) + (xy 2.201333 1.763889) + (xy 2.201333 1.495778) + (xy 2.470727 1.495778) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp bc4e47e4-7b44-47d7-9d7b-6b1acf0bda36)) + (fp_poly (pts + (xy -4.176889 1.665111) + (xy -4.600222 1.665111) + (xy -4.600222 1.862667) + (xy -4.205111 1.862667) + (xy -4.205111 2.032) + (xy -4.600222 2.032) + (xy -4.600222 2.257778) + (xy -4.176889 2.257778) + (xy -4.176889 2.427111) + (xy -4.797778 2.427111) + (xy -4.797778 1.495778) + (xy -4.176889 1.495778) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp bd631617-c89d-4a9c-a280-b3203382edb6)) + (fp_poly (pts + (xy -8.551333 2.935111) + (xy -8.974667 2.935111) + (xy -8.974667 3.132667) + (xy -8.579555 3.132667) + (xy -8.579555 3.302) + (xy -8.974667 3.302) + (xy -8.974667 3.527778) + (xy -8.551333 3.527778) + (xy -8.551333 3.697111) + (xy -9.200444 3.697111) + (xy -9.200444 2.765778) + (xy -8.551333 2.765778) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp c25014e3-46a6-4d9b-9b1a-3afebaa7f0b7)) + (fp_poly (pts + (xy 5.935881 1.509889) + (xy 6.062935 1.815903) + (xy 6.189988 2.121918) + (xy 6.440532 1.495778) + (xy 6.688667 1.495778) + (xy 6.688667 2.427111) + (xy 6.491111 2.427111) + (xy 6.489524 2.137834) + (xy 6.487937 1.848556) + (xy 6.371441 2.139207) + (xy 6.319517 2.2663) + (xy 6.28172 2.349384) + (xy 6.252211 2.397245) + (xy 6.225154 2.418668) + (xy 6.19471 2.42244) + (xy 6.183925 2.421429) + (xy 6.150091 2.411448) + (xy 6.119458 2.384312) + (xy 6.086335 2.33094) + (xy 6.045028 2.242251) + (xy 5.992882 2.116667) + (xy 5.87286 1.820334) + (xy 5.871541 2.123722) + (xy 5.870222 2.427111) + (xy 5.672667 2.427111) + (xy 5.672667 1.492685) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp c3a8dba9-3bee-4331-b8f8-2579d42cc614)) + (fp_poly (pts + (xy 0.805626 2.749635) + (xy 0.885975 2.780345) + (xy 0.951467 2.826207) + (xy 1.014849 2.892942) + (xy 1.06008 2.961398) + (xy 1.072445 3.002966) + (xy 1.048841 3.019329) + (xy 0.992174 3.022503) + (xy 0.92366 3.014783) + (xy 0.864514 2.998463) + (xy 0.836461 2.977445) + (xy 0.79767 2.944612) + (xy 0.731521 2.936598) + (xy 0.660989 2.952671) + (xy 0.614942 2.9845) + (xy 0.576536 3.048793) + (xy 0.552008 3.117789) + (xy 0.540617 3.173769) + (xy 0.550217 3.182466) + (xy 0.585347 3.153402) + (xy 0.683045 3.098005) + (xy 0.795099 3.083744) + (xy 0.904566 3.108093) + (xy 0.994497 3.168524) + (xy 1.031442 3.219907) + (xy 1.06936 3.347869) + (xy 1.056822 3.472662) + (xy 0.999105 3.58219) + (xy 0.901486 3.664356) + (xy 0.823686 3.696128) + (xy 0.753607 3.715078) + (xy 0.709623 3.720355) + (xy 0.663033 3.711835) + (xy 0.605223 3.695184) + (xy 0.493211 3.635017) + (xy 0.409686 3.533406) + (xy 0.358687 3.399783) + (xy 0.355961 3.370282) + (xy 0.565933 3.370282) + (xy 0.585441 3.452935) + (xy 0.620889 3.499556) + (xy 0.686206 3.547513) + (xy 0.749573 3.546968) + (xy 0.812165 3.512067) + (xy 0.865264 3.448107) + (xy 0.875387 3.373787) + (xy 0.850054 3.303451) + (xy 0.796787 3.251439) + (xy 0.723107 3.232096) + (xy 0.670881 3.242644) + (xy 0.595328 3.295481) + (xy 0.565933 3.370282) + (xy 0.355961 3.370282) + (xy 0.344251 3.243579) + (xy 0.359166 3.120498) + (xy 0.405914 2.963862) + (xy 0.472677 2.85436) + (xy 0.564134 2.784803) + (xy 0.583418 2.776039) + (xy 0.700573 2.741826) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp c3bd4f61-3b67-4c2b-8459-fd3793bd3261)) + (fp_poly (pts + (xy -2.155564 -1.039595) + (xy -2.076355 -0.985363) + (xy -2.055468 -0.963435) + (xy -1.980079 -0.844364) + (xy -1.937156 -0.701939) + (xy -1.925944 -0.54987) + (xy -1.945684 -0.401867) + (xy -1.99562 -0.271637) + (xy -2.074994 -0.172891) + (xy -2.100158 -0.154198) + (xy -2.197599 -0.113663) + (xy -2.315033 -0.095507) + (xy -2.413 -0.103632) + (xy -2.520687 -0.15999) + (xy -2.603942 -0.261552) + (xy -2.658685 -0.400874) + (xy -2.676692 -0.538767) + (xy -2.478471 -0.538767) + (xy -2.455069 -0.409835) + (xy -2.403967 -0.315912) + (xy -2.397315 -0.30887) + (xy -2.340599 -0.263979) + (xy -2.291209 -0.262642) + (xy -2.231649 -0.306236) + (xy -2.214162 -0.323273) + (xy -2.173536 -0.373619) + (xy -2.15251 -0.433302) + (xy -2.145254 -0.522391) + (xy -2.144889 -0.561834) + (xy -2.15636 -0.717654) + (xy -2.191365 -0.823983) + (xy -2.250791 -0.88303) + (xy -2.277864 -0.893021) + (xy -2.356849 -0.887546) + (xy -2.420231 -0.832411) + (xy -2.462446 -0.733503) + (xy -2.471379 -0.688382) + (xy -2.478471 -0.538767) + (xy -2.676692 -0.538767) + (xy -2.680837 -0.570512) + (xy -2.681111 -0.592884) + (xy -2.66218 -0.769652) + (xy -2.60727 -0.908272) + (xy -2.519209 -1.005573) + (xy -2.400823 -1.058381) + (xy -2.261072 -1.064251) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp c63aa493-e961-4566-a451-3ce9b262ccdd)) + (fp_poly (pts + (xy 11.153568 1.478527) + (xy 11.307501 1.516467) + (xy 11.420073 1.592631) + (xy 11.473776 1.667901) + (xy 11.51032 1.756654) + (xy 11.506888 1.809706) + (xy 11.462103 1.832542) + (xy 11.431922 1.834445) + (xy 11.354432 1.815091) + (xy 11.289089 1.750059) + (xy 11.288889 1.749778) + (xy 11.210814 1.682258) + (xy 11.115859 1.659633) + (xy 11.021117 1.682426) + (xy 10.952271 1.739473) + (xy 10.905957 1.835412) + (xy 10.889594 1.952778) + (xy 10.90267 2.070294) + (xy 10.944675 2.166681) + (xy 10.963051 2.188505) + (xy 11.05022 2.242219) + (xy 11.147587 2.25237) + (xy 11.238458 2.221984) + (xy 11.306137 2.154087) + (xy 11.320639 2.12369) + (xy 11.35285 2.1062) + (xy 11.411658 2.107929) + (xy 11.472552 2.124135) + (xy 11.511023 2.150078) + (xy 11.514667 2.161221) + (xy 11.495266 2.208701) + (xy 11.447214 2.274533) + (xy 11.385742 2.341566) + (xy 11.326076 2.392646) + (xy 11.294206 2.409543) + (xy 11.151809 2.437774) + (xy 11.032591 2.432233) + (xy 10.964333 2.413244) + (xy 10.832345 2.339422) + (xy 10.739668 2.225519) + (xy 10.694742 2.111168) + (xy 10.673814 1.94721) + (xy 10.69733 1.795723) + (xy 10.759427 1.66479) + (xy 10.854243 1.562493) + (xy 10.975917 1.496915) + (xy 11.118586 1.476139) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp ca21566c-089f-4912-978a-29539de42af8)) + (fp_poly (pts + (xy 12.937822 1.509889) + (xy 13.051744 1.798991) + (xy 13.098982 1.915365) + (xy 13.139771 2.00938) + (xy 13.169309 2.070368) + (xy 13.181961 2.088269) + (xy 13.198452 2.063853) + (xy 13.230924 1.997417) + (xy 13.274526 1.8994) + (xy 13.319563 1.792111) + (xy 13.440872 1.495778) + (xy 13.687778 1.495778) + (xy 13.687778 2.427111) + (xy 13.490222 2.427111) + (xy 13.488635 2.137834) + (xy 13.487048 1.848556) + (xy 13.370553 2.139207) + (xy 13.318628 2.2663) + (xy 13.280831 2.349384) + (xy 13.251322 2.397245) + (xy 13.224265 2.418668) + (xy 13.193821 2.42244) + (xy 13.183036 2.421429) + (xy 13.149202 2.411448) + (xy 13.118569 2.384312) + (xy 13.085446 2.33094) + (xy 13.04414 2.242251) + (xy 12.991993 2.116667) + (xy 12.871972 1.820334) + (xy 12.870652 2.123722) + (xy 12.869333 2.427111) + (xy 12.671778 2.427111) + (xy 12.671778 1.492685) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp ccf2019d-4935-4f94-8eac-2554591d8e63)) + (fp_poly (pts + (xy 14.449778 4.402667) + (xy -14.421555 4.402667) + (xy -14.421555 4.233334) + (xy -14.252222 4.233334) + (xy 14.280445 4.233334) + (xy 14.280445 -1.693333) + (xy -14.252222 -1.693333) + (xy -14.252222 4.233334) + (xy -14.421555 4.233334) + (xy -14.421555 -1.862666) + (xy -14.252222 -1.862666) + (xy 14.280445 -1.862666) + (xy 14.280445 -4.233333) + (xy -14.252222 -4.233333) + (xy -14.252222 -1.862666) + (xy -14.421555 -1.862666) + (xy -14.421555 -4.402666) + (xy 14.449778 -4.402666) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp cdfbd69a-32df-49da-ba85-0c7b0d7b2fab)) + (fp_poly (pts + (xy -6.201489 0.207027) + (xy -6.081683 0.250245) + (xy -5.985086 0.331874) + (xy -5.939079 0.408934) + (xy -5.907026 0.532443) + (xy -5.8974 0.679474) + (xy -5.909406 0.827353) + (xy -5.942247 0.953411) + (xy -5.959511 0.989969) + (xy -6.050619 1.102322) + (xy -6.165652 1.165753) + (xy -6.296446 1.177753) + (xy -6.434667 1.135901) + (xy -6.5396 1.052814) + (xy -6.608797 0.927154) + (xy -6.641451 0.760687) + (xy -6.643843 0.68426) + (xy -6.431322 0.68426) + (xy -6.428903 0.73791) + (xy -6.41647 0.845313) + (xy -6.394495 0.91343) + (xy -6.357165 0.959297) + (xy -6.351824 0.963753) + (xy -6.288391 1.006749) + (xy -6.241068 1.007822) + (xy -6.187793 0.966633) + (xy -6.182241 0.96113) + (xy -6.130761 0.87595) + (xy -6.10326 0.760236) + (xy -6.099961 0.633543) + (xy -6.12109 0.515426) + (xy -6.166871 0.425441) + (xy -6.175969 0.415488) + (xy -6.248595 0.374889) + (xy -6.323185 0.384725) + (xy -6.386052 0.441202) + (xy -6.406269 0.479353) + (xy -6.425874 0.565838) + (xy -6.431322 0.68426) + (xy -6.643843 0.68426) + (xy -6.64406 0.677334) + (xy -6.626728 0.504822) + (xy -6.576599 0.373765) + (xy -6.490817 0.27777) + (xy -6.454463 0.252858) + (xy -6.330437 0.206478) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp d12f87f8-fa3b-4314-bdf3-ac6ddfc1483a)) + (fp_poly (pts + (xy -3.848953 -1.028059) + (xy -3.734192 -0.948321) + (xy -3.66172 -0.84466) + (xy -3.612218 -0.747889) + (xy -3.712689 -0.739035) + (xy -3.793238 -0.742642) + (xy -3.849939 -0.779068) + (xy -3.870072 -0.802535) + (xy -3.944608 -0.85778) + (xy -4.039302 -0.877398) + (xy -4.132213 -0.860144) + (xy -4.189018 -0.820635) + (xy -4.229148 -0.74586) + (xy -4.253958 -0.640518) + (xy -4.259162 -0.529399) + (xy -4.250214 -0.466653) + (xy -4.200738 -0.363102) + (xy -4.122541 -0.301189) + (xy -4.02814 -0.284168) + (xy -3.930053 -0.315293) + (xy -3.866444 -0.366889) + (xy -3.786641 -0.432264) + (xy -3.717168 -0.45584) + (xy -3.667438 -0.441599) + (xy -3.646864 -0.393518) + (xy -3.664859 -0.315578) + (xy -3.678847 -0.287577) + (xy -3.755299 -0.202959) + (xy -3.866965 -0.143002) + (xy -3.996642 -0.111426) + (xy -4.12713 -0.111952) + (xy -4.241227 -0.148298) + (xy -4.262331 -0.16141) + (xy -4.362651 -0.248922) + (xy -4.425133 -0.353341) + (xy -4.4574 -0.465666) + (xy -4.470648 -0.638232) + (xy -4.439402 -0.790437) + (xy -4.368828 -0.91555) + (xy -4.264089 -1.006839) + (xy -4.130354 -1.057572) + (xy -3.998398 -1.063837) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp d14264d1-8c2e-42f8-ba7b-b043721d583b)) + (fp_poly (pts + (xy -2.347165 2.75063) + (xy -2.346881 2.750692) + (xy -2.241527 2.79465) + (xy -2.165021 2.866485) + (xy -2.122224 2.953997) + (xy -2.117996 3.044987) + (xy -2.157198 3.127254) + (xy -2.185879 3.15479) + (xy -2.255092 3.209233) + (xy -2.185118 3.246682) + (xy -2.118903 3.31159) + (xy -2.085091 3.408077) + (xy -2.085242 3.485445) + (xy -2.122803 3.568973) + (xy -2.200589 3.643511) + (xy -2.302685 3.694219) + (xy -2.312126 3.696997) + (xy -2.390457 3.716864) + (xy -2.446839 3.721069) + (xy -2.507529 3.708235) + (xy -2.582333 3.682822) + (xy -2.691115 3.626842) + (xy -2.765162 3.553008) + (xy -2.793938 3.472002) + (xy -2.794 3.468207) + (xy -2.772001 3.427744) + (xy -2.718227 3.412718) + (xy -2.651008 3.422582) + (xy -2.588676 3.456788) + (xy -2.571041 3.474861) + (xy -2.505546 3.52181) + (xy -2.42716 3.533544) + (xy -2.353141 3.513842) + (xy -2.300749 3.466487) + (xy -2.286 3.412426) + (xy -2.311537 3.355483) + (xy -2.378771 3.315842) + (xy -2.467589 3.302) + (xy -2.519641 3.292979) + (xy -2.53839 3.254381) + (xy -2.54 3.219122) + (xy -2.532225 3.160183) + (xy -2.497182 3.134677) + (xy -2.451124 3.127399) + (xy -2.368152 3.101815) + (xy -2.322208 3.052102) + (xy -2.32177 2.989367) + (xy -2.331324 2.970389) + (xy -2.387945 2.923322) + (xy -2.460739 2.912585) + (xy -2.526024 2.939152) + (xy -2.545459 2.961587) + (xy -2.593205 3.003013) + (xy -2.657833 3.021827) + (xy -2.719916 3.017537) + (xy -2.760029 2.989647) + (xy -2.765778 2.967182) + (xy -2.739891 2.888827) + (xy -2.671372 2.820907) + (xy -2.573936 2.770509) + (xy -2.461296 2.744721) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp d2a6fb29-cdc5-465d-a07d-004a5b2dbc43)) + (fp_poly (pts + (xy 5.34822 -1.05064) + (xy 5.472013 -1.025248) + (xy 5.559129 -0.978694) + (xy 5.618541 -0.90751) + (xy 5.630979 -0.883652) + (xy 5.663292 -0.758636) + (xy 5.644222 -0.639262) + (xy 5.575807 -0.538033) + (xy 5.574355 -0.53666) + (xy 5.50513 -0.471626) + (xy 5.605438 -0.292257) + (xy 5.705747 -0.112889) + (xy 5.592339 -0.112889) + (xy 5.530802 -0.11567) + (xy 5.488217 -0.13139) + (xy 5.451093 -0.171111) + (xy 5.405938 -0.245898) + (xy 5.385841 -0.282222) + (xy 5.32779 -0.378844) + (xy 5.281418 -0.432033) + (xy 5.238143 -0.451041) + (xy 5.228708 -0.451555) + (xy 5.193139 -0.447006) + (xy 5.173928 -0.424581) + (xy 5.166098 -0.371121) + (xy 5.164667 -0.282222) + (xy 5.164667 -0.112889) + (xy 5.065003 -0.112889) + (xy 4.99426 -0.122302) + (xy 4.953319 -0.145567) + (xy 4.951348 -0.149351) + (xy 4.946991 -0.189072) + (xy 4.944214 -0.274514) + (xy 4.943157 -0.394971) + (xy 4.943961 -0.539739) + (xy 4.945178 -0.622074) + (xy 4.95033 -0.909393) + (xy 5.164667 -0.909393) + (xy 5.164667 -0.620889) + (xy 5.271911 -0.620889) + (xy 5.350929 -0.629313) + (xy 5.407286 -0.650056) + (xy 5.413022 -0.654755) + (xy 5.442593 -0.716069) + (xy 5.44094 -0.789096) + (xy 5.411611 -0.840685) + (xy 5.361683 -0.864932) + (xy 5.284625 -0.886783) + (xy 5.2705 -0.889615) + (xy 5.164667 -0.909393) + (xy 4.95033 -0.909393) + (xy 4.953 -1.058333) + (xy 5.178778 -1.058333) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp d50ed639-f595-44f0-b1de-03ab715cccf2)) + (fp_poly (pts + (xy -12.247798 -3.471333) + (xy -11.940077 -3.146778) + (xy -11.939039 -3.351389) + (xy -11.938 -3.556) + (xy -11.176 -3.556) + (xy -11.176 -3.217333) + (xy -11.626122 -3.217333) + (xy -11.633894 -2.778622) + (xy -11.641667 -2.339911) + (xy -11.923889 -2.649354) + (xy -12.024271 -2.758143) + (xy -12.111711 -2.850507) + (xy -12.179039 -2.919056) + (xy -12.219085 -2.956401) + (xy -12.226353 -2.961065) + (xy -12.23519 -2.935706) + (xy -12.243619 -2.865228) + (xy -12.250595 -2.760955) + (xy -12.254576 -2.655234) + (xy -12.262555 -2.347134) + (xy -12.544778 -2.657178) + (xy -12.827 -2.967223) + (xy -12.855222 -2.333529) + (xy -13.157645 -2.655487) + (xy -13.460069 -2.977444) + (xy -13.461034 -2.8575) + (xy -13.462 -2.737555) + (xy -13.772444 -2.737555) + (xy -13.771739 -3.266722) + (xy -13.771033 -3.795889) + (xy -13.653915 -3.668889) + (xy -13.54245 -3.548916) + (xy -13.433816 -3.433587) + (xy -13.334893 -3.330035) + (xy -13.252564 -3.245397) + (xy -13.193709 -3.186806) + (xy -13.165212 -3.161398) + (xy -13.163942 -3.160889) + (xy -13.158991 -3.187193) + (xy -13.153695 -3.258456) + (xy -13.148748 -3.363202) + (xy -13.145424 -3.466644) + (xy -13.137444 -3.772399) + (xy -12.855222 -3.465728) + (xy -12.573 -3.159056) + (xy -12.564259 -3.477472) + (xy -12.555519 -3.795889) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp d73ac2c0-339f-4a36-aa42-28225dd34e3e)) + (fp_poly (pts + (xy -5.108222 0.366889) + (xy -5.302758 0.366889) + (xy -5.416177 0.370382) + (xy -5.484513 0.386028) + (xy -5.518956 0.421582) + (xy -5.530697 0.484795) + (xy -5.531555 0.525412) + (xy -5.525396 0.573406) + (xy -5.497779 0.57554) + (xy -5.476966 0.565438) + (xy -5.369308 0.536248) + (xy -5.254844 0.549501) + (xy -5.158184 0.602214) + (xy -5.155818 0.604373) + (xy -5.081565 0.708014) + (xy -5.054084 0.827808) + (xy -5.073004 0.948865) + (xy -5.137953 1.056295) + (xy -5.167792 1.084854) + (xy -5.236233 1.130925) + (xy -5.313566 1.152449) + (xy -5.406276 1.157111) + (xy -5.506506 1.151712) + (xy -5.575342 1.13016) + (xy -5.63725 1.08442) + (xy -5.642609 1.0795) + (xy -5.712516 0.999806) + (xy -5.735693 0.936533) + (xy -5.711634 0.894472) + (xy -5.6637 0.879886) + (xy -5.583562 0.891307) + (xy -5.516881 0.943386) + (xy -5.443893 1.002662) + (xy -5.377876 1.008835) + (xy -5.308922 0.962665) + (xy -5.305778 0.959556) + (xy -5.256441 0.878978) + (xy -5.257307 0.796356) + (xy -5.307463 0.726126) + (xy -5.321179 0.716226) + (xy -5.377922 0.687441) + (xy -5.434826 0.686377) + (xy -5.49994 0.704436) + (xy -5.595724 0.731809) + (xy -5.657697 0.733326) + (xy -5.692387 0.701807) + (xy -5.706319 0.630072) + (xy -5.706019 0.510942) + (xy -5.704753 0.479111) + (xy -5.698679 0.367564) + (xy -5.6914 0.278255) + (xy -5.684192 0.225728) + (xy -5.681921 0.218722) + (xy -5.650124 0.209772) + (xy -5.574599 0.202696) + (xy -5.468055 0.19841) + (xy -5.388779 0.197556) + (xy -5.108222 0.197556) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp d7c56420-e27d-4af6-9886-6f7d4d23827a)) + (fp_poly (pts + (xy 3.330248 -3.525951) + (xy 3.418747 -3.518854) + (xy 3.478953 -3.504062) + (xy 3.524906 -3.47915) + (xy 3.538083 -3.469285) + (xy 3.592299 -3.409332) + (xy 3.611653 -3.330596) + (xy 3.612445 -3.302) + (xy 3.600615 -3.213376) + (xy 3.557203 -3.150912) + (xy 3.538083 -3.134715) + (xy 3.494359 -3.093575) + (xy 3.499696 -3.076818) + (xy 3.507812 -3.076222) + (xy 3.558542 -3.053081) + (xy 3.612852 -2.997023) + (xy 3.654817 -2.9281) + (xy 3.668889 -2.873459) + (xy 3.645934 -2.777907) + (xy 3.588047 -2.687753) + (xy 3.514455 -2.630161) + (xy 3.452941 -2.61482) + (xy 3.353058 -2.603132) + (xy 3.232851 -2.596958) + (xy 3.187783 -2.596444) + (xy 2.935111 -2.596444) + (xy 2.935111 -2.765778) + (xy 3.129421 -2.765778) + (xy 3.2524 -2.765778) + (xy 3.336032 -2.773128) + (xy 3.397556 -2.791539) + (xy 3.409245 -2.799644) + (xy 3.445119 -2.863772) + (xy 3.431846 -2.924557) + (xy 3.376432 -2.972951) + (xy 3.285884 -2.999911) + (xy 3.252097 -3.002493) + (xy 3.185918 -3.001579) + (xy 3.154176 -2.983288) + (xy 3.141904 -2.932286) + (xy 3.1381 -2.885722) + (xy 3.129421 -2.765778) + (xy 2.935111 -2.765778) + (xy 2.935111 -3.157796) + (xy 3.132667 -3.157796) + (xy 3.266722 -3.166398) + (xy 3.347644 -3.174063) + (xy 3.387027 -3.190138) + (xy 3.39975 -3.224778) + (xy 3.400778 -3.257872) + (xy 3.391966 -3.317368) + (xy 3.354466 -3.349724) + (xy 3.305614 -3.365405) + (xy 3.211637 -3.380167) + (xy 3.157523 -3.360512) + (xy 3.134854 -3.301262) + (xy 3.132667 -3.259006) + (xy 3.132667 -3.157796) + (xy 2.935111 -3.157796) + (xy 2.935111 -3.527778) + (xy 3.199416 -3.527778) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp da02a15a-0120-43a0-8a60-355dfe3ade1b)) + (fp_poly (pts + (xy -7.679739 0.205749) + (xy -7.574901 0.230116) + (xy -7.495857 0.283937) + (xy -7.472749 0.308115) + (xy -7.413588 0.39154) + (xy -7.392462 0.473491) + (xy -7.411475 0.561615) + (xy -7.472735 0.663557) + (xy -7.578347 0.786964) + (xy -7.61527 0.8255) + (xy -7.773455 0.987778) + (xy -7.394222 0.987778) + (xy -7.394222 1.157111) + (xy -7.732889 1.157111) + (xy -7.875569 1.156781) + (xy -7.971212 1.154553) + (xy -8.029225 1.14857) + (xy -8.059015 1.136975) + (xy -8.069989 1.117911) + (xy -8.071555 1.090261) + (xy -8.05427 1.039854) + (xy -8.000027 0.967289) + (xy -7.905245 0.868132) + (xy -7.847512 0.812808) + (xy -7.720533 0.685493) + (xy -7.639017 0.583635) + (xy -7.600508 0.502868) + (xy -7.602549 0.438823) + (xy -7.620304 0.408856) + (xy -7.684051 0.369924) + (xy -7.761144 0.369556) + (xy -7.827756 0.404796) + (xy -7.850913 0.437445) + (xy -7.888543 0.488572) + (xy -7.950957 0.507036) + (xy -7.980367 0.508) + (xy -8.044776 0.504377) + (xy -8.066499 0.484426) + (xy -8.059228 0.434528) + (xy -8.058235 0.430547) + (xy -8.003642 0.317194) + (xy -7.909761 0.240581) + (xy -7.783249 0.204662) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp dbc80832-b509-489c-9f83-51cb7e38cf93)) + (fp_poly (pts + (xy 12.180429 1.482056) + (xy 12.316706 1.539883) + (xy 12.438123 1.650253) + (xy 12.458367 1.676082) + (xy 12.500208 1.769672) + (xy 12.519894 1.894664) + (xy 12.517693 2.030661) + (xy 12.493871 2.157266) + (xy 12.450831 2.251148) + (xy 12.34647 2.353884) + (xy 12.21428 2.420018) + (xy 12.070792 2.44368) + (xy 11.955478 2.427021) + (xy 11.825305 2.358976) + (xy 11.729575 2.249414) + (xy 11.671983 2.104153) + (xy 11.655778 1.95535) + (xy 11.656947 1.944095) + (xy 11.853333 1.944095) + (xy 11.871927 2.078306) + (xy 11.923008 2.179311) + (xy 11.999527 2.241287) + (xy 12.094434 2.258414) + (xy 12.196245 2.227284) + (xy 12.264623 2.161433) + (xy 12.306488 2.062192) + (xy 12.320146 1.946866) + (xy 12.303904 1.832759) + (xy 12.256068 1.737175) + (xy 12.245413 1.724804) + (xy 12.167059 1.677844) + (xy 12.064952 1.665247) + (xy 11.981598 1.682642) + (xy 11.928125 1.728098) + (xy 11.882936 1.808792) + (xy 11.856414 1.903557) + (xy 11.853333 1.944095) + (xy 11.656947 1.944095) + (xy 11.672975 1.789821) + (xy 11.727193 1.662657) + (xy 11.822374 1.566486) + (xy 11.883644 1.529233) + (xy 12.034379 1.478073) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp df431af7-a006-4926-8c38-294e3651d58e)) + (fp_poly (pts + (xy -10.323607 1.509889) + (xy -10.206526 1.798841) + (xy -10.158166 1.914987) + (xy -10.116594 2.008902) + (xy -10.086673 2.069968) + (xy -10.074009 2.088118) + (xy -10.057837 2.063781) + (xy -10.025574 1.99744) + (xy -9.982051 1.899518) + (xy -9.936876 1.792111) + (xy -9.815178 1.495778) + (xy -9.567333 1.495778) + (xy -9.567333 2.427111) + (xy -9.762914 2.427111) + (xy -9.770957 2.143028) + (xy -9.779 1.858944) + (xy -9.891889 2.143824) + (xy -9.942761 2.269579) + (xy -9.979854 2.351332) + (xy -10.009061 2.397984) + (xy -10.036278 2.418437) + (xy -10.067398 2.421592) + (xy -10.075333 2.420852) + (xy -10.108952 2.411125) + (xy -10.139402 2.384154) + (xy -10.172347 2.330873) + (xy -10.213449 2.242216) + (xy -10.26533 2.116667) + (xy -10.384772 1.820334) + (xy -10.385275 2.123722) + (xy -10.385778 2.427111) + (xy -10.583333 2.427111) + (xy -10.583333 1.492685) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp e05f91bd-93d1-4646-9a7f-7b51916b18a0)) + (fp_poly (pts + (xy 0.85088 -3.061471) + (xy 1.010316 -2.593477) + (xy 0.894119 -2.602016) + (xy 0.817631 -2.612703) + (xy 0.777485 -2.639292) + (xy 0.753606 -2.695922) + (xy 0.751767 -2.702278) + (xy 0.735002 -2.752428) + (xy 0.711051 -2.779855) + (xy 0.664856 -2.791415) + (xy 0.581357 -2.793966) + (xy 0.550333 -2.794) + (xy 0.454129 -2.79271) + (xy 0.399311 -2.78427) + (xy 0.370821 -2.761822) + (xy 0.353601 -2.71851) + (xy 0.34891 -2.702278) + (xy 0.323204 -2.639717) + (xy 0.280241 -2.611236) + (xy 0.217827 -2.601796) + (xy 0.142132 -2.603115) + (xy 0.114645 -2.624895) + (xy 0.114378 -2.630018) + (xy 0.123888 -2.66821) + (xy 0.14917 -2.749561) + (xy 0.186963 -2.864091) + (xy 0.234002 -3.001823) + (xy 0.235739 -3.006797) + (xy 0.458041 -3.006797) + (xy 0.470566 -2.975301) + (xy 0.510248 -2.964416) + (xy 0.550333 -2.963333) + (xy 0.623683 -2.973171) + (xy 0.649111 -3.000639) + (xy 0.640463 -3.047483) + (xy 0.618396 -3.125735) + (xy 0.601942 -3.17638) + (xy 0.554772 -3.314815) + (xy 0.505351 -3.174352) + (xy 0.470395 -3.069587) + (xy 0.458041 -3.006797) + (xy 0.235739 -3.006797) + (xy 0.264914 -3.090333) + (xy 0.41396 -3.513666) + (xy 0.552702 -3.521566) + (xy 0.691445 -3.529465) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp e15bb6b3-979d-447b-b023-40830d2de8a3)) + (fp_poly (pts + (xy 5.700889 -2.765778) + (xy 6.096 -2.765778) + (xy 6.096 -2.596444) + (xy 5.475111 -2.596444) + (xy 5.475111 -3.527778) + (xy 5.700889 -3.527778) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp e30d6577-1314-440d-bc22-5ddaa98fa7e4)) + (fp_poly (pts + (xy 7.196667 -0.508) + (xy 6.741362 -0.508) + (xy 6.750292 -0.585611) + (xy 6.757184 -0.624675) + (xy 6.774865 -0.648336) + (xy 6.815517 -0.661188) + (xy 6.891324 -0.667825) + (xy 6.977945 -0.671465) + (xy 7.196667 -0.679707) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp e3410049-a035-49e4-82ba-3ef5ef14f9fe)) + (fp_poly (pts + (xy 2.032 2.427111) + (xy 1.836419 2.427111) + (xy 1.828376 2.137834) + (xy 1.820333 1.848556) + (xy 1.707495 2.137834) + (xy 1.656457 2.265602) + (xy 1.61872 2.349326) + (xy 1.588522 2.398091) + (xy 1.560102 2.420979) + (xy 1.527697 2.427075) + (xy 1.524 2.427111) + (xy 1.490876 2.422199) + (xy 1.462316 2.401407) + (xy 1.432557 2.355651) + (xy 1.395837 2.275847) + (xy 1.346395 2.152911) + (xy 1.340505 2.137834) + (xy 1.227667 1.848556) + (xy 1.219624 2.137834) + (xy 1.211581 2.427111) + (xy 1.016 2.427111) + (xy 1.016 1.492685) + (xy 1.146576 1.501287) + (xy 1.277151 1.509889) + (xy 1.391116 1.799167) + (xy 1.438393 1.915565) + (xy 1.479282 2.009581) + (xy 1.508968 2.070557) + (xy 1.521784 2.088445) + (xy 1.538409 2.063934) + (xy 1.570718 1.99747) + (xy 1.61381 1.899657) + (xy 1.655506 1.799167) + (xy 1.772525 1.509889) + (xy 1.902263 1.501287) + (xy 2.032 1.492685) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp e4619c54-73e3-461d-a75f-34940b44336d)) + (fp_poly (pts + (xy 1.591783 -3.544211) + (xy 1.599604 -3.542804) + (xy 1.68706 -3.509194) + (xy 1.774267 -3.448644) + (xy 1.845908 -3.375151) + (xy 1.886663 -3.302715) + (xy 1.890889 -3.2766) + (xy 1.869654 -3.230656) + (xy 1.817015 -3.213595) + (xy 1.749571 -3.224602) + (xy 1.68392 -3.262868) + (xy 1.660069 -3.287889) + (xy 1.581842 -3.346678) + (xy 1.486001 -3.362486) + (xy 1.391056 -3.335704) + (xy 1.325736 -3.280833) + (xy 1.285073 -3.19375) + (xy 1.270126 -3.082652) + (xy 1.279708 -2.967807) + (xy 1.312632 -2.869482) + (xy 1.352842 -2.818024) + (xy 1.450717 -2.769955) + (xy 1.551367 -2.775686) + (xy 1.644431 -2.834124) + (xy 1.659831 -2.850444) + (xy 1.746225 -2.919438) + (xy 1.812507 -2.935111) + (xy 1.873274 -2.920773) + (xy 1.889692 -2.876052) + (xy 1.862252 -2.798384) + (xy 1.84473 -2.766902) + (xy 1.756967 -2.670421) + (xy 1.635652 -2.607764) + (xy 1.496314 -2.584874) + (xy 1.397 -2.595549) + (xy 1.25337 -2.652354) + (xy 1.148478 -2.749242) + (xy 1.080937 -2.887799) + (xy 1.059374 -2.981321) + (xy 1.056333 -3.147272) + (xy 1.099141 -3.293866) + (xy 1.180943 -3.413981) + (xy 1.294887 -3.500492) + (xy 1.434118 -3.546276) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp e88930b6-0888-4cb0-959c-1336c10879e7)) + (fp_poly (pts + (xy -2.652978 1.497214) + (xy -2.566836 1.503306) + (xy -2.508969 1.516733) + (xy -2.46531 1.540172) + (xy -2.439172 1.560939) + (xy -2.387244 1.621124) + (xy -2.359149 1.701194) + (xy -2.349543 1.7705) + (xy -2.344654 1.861247) + (xy -2.356511 1.919995) + (xy -2.391866 1.970298) + (xy -2.413134 1.992367) + (xy -2.4906 2.069833) + (xy -2.41007 2.227305) + (xy -2.366344 2.313047) + (xy -2.33329 2.3783) + (xy -2.319529 2.405945) + (xy -2.337985 2.419006) + (xy -2.396248 2.426451) + (xy -2.424353 2.427111) + (xy -2.48672 2.424575) + (xy -2.528733 2.409413) + (xy -2.563793 2.3703) + (xy -2.605299 2.295907) + (xy -2.624667 2.257778) + (xy -2.675988 2.163728) + (xy -2.716812 2.111583) + (xy -2.757007 2.090603) + (xy -2.780295 2.088445) + (xy -2.819176 2.092082) + (xy -2.840169 2.111828) + (xy -2.848761 2.160932) + (xy -2.850443 2.252645) + (xy -2.850444 2.257778) + (xy -2.850444 2.427111) + (xy -3.048 2.427111) + (xy -3.048 1.919111) + (xy -2.850444 1.919111) + (xy -2.722839 1.919111) + (xy -2.639015 1.914035) + (xy -2.592204 1.89346) + (xy -2.564714 1.852126) + (xy -2.546936 1.766848) + (xy -2.57877 1.705189) + (xy -2.656984 1.670935) + (xy -2.724437 1.665111) + (xy -2.850444 1.665111) + (xy -2.850444 1.919111) + (xy -3.048 1.919111) + (xy -3.048 1.495778) + (xy -2.781463 1.495778) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp e9f2371b-f844-421e-a321-07219dcf8d3d)) + (fp_poly (pts + (xy -10.145115 0.214276) + (xy -10.081579 0.226983) + (xy -10.033696 0.257111) + (xy -9.988352 0.303834) + (xy -9.929125 0.38486) + (xy -9.905062 0.462977) + (xy -9.918429 0.546095) + (xy -9.971496 0.642123) + (xy -10.066529 0.758972) + (xy -10.141975 0.839611) + (xy -10.285072 0.987778) + (xy -9.906 0.987778) + (xy -9.906 1.157111) + (xy -10.244667 1.157111) + (xy -10.38741 1.156678) + (xy -10.483105 1.154236) + (xy -10.541148 1.148075) + (xy -10.570934 1.136485) + (xy -10.581859 1.117755) + (xy -10.583333 1.095448) + (xy -10.562072 1.044005) + (xy -10.500283 0.960442) + (xy -10.400955 0.848581) + (xy -10.343444 0.788637) + (xy -10.250572 0.690547) + (xy -10.174435 0.604075) + (xy -10.122832 0.538507) + (xy -10.103559 0.503132) + (xy -10.103555 0.502917) + (xy -10.126193 0.430738) + (xy -10.182205 0.385058) + (xy -10.253735 0.369774) + (xy -10.322932 0.388781) + (xy -10.371303 0.4445) + (xy -10.411039 0.492658) + (xy -10.482834 0.507913) + (xy -10.491248 0.508) + (xy -10.561219 0.492931) + (xy -10.58392 0.449527) + (xy -10.55922 0.380498) + (xy -10.500982 0.303834) + (xy -10.450035 0.252326) + (xy -10.401348 0.224679) + (xy -10.334559 0.213573) + (xy -10.244667 0.211667) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp eb448f32-6137-4f6e-9a9e-495fc6002a96)) + (fp_poly (pts + (xy 8.235708 -3.450166) + (xy 8.22592 -3.404559) + (xy 8.200087 -3.380144) + (xy 8.143159 -3.36867) + (xy 8.078611 -3.364025) + (xy 7.930445 -3.355495) + (xy 7.930445 -2.596444) + (xy 7.732889 -2.596444) + (xy 7.732889 -3.358444) + (xy 7.591778 -3.358444) + (xy 7.508244 -3.360294) + (xy 7.466588 -3.371667) + (xy 7.452251 -3.4013) + (xy 7.450667 -3.443111) + (xy 7.450667 -3.527778) + (xy 8.244638 -3.527778) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp ef8d2233-21ed-4cbf-9620-0bf65a2662fa)) + (fp_poly (pts + (xy -3.935206 0.208719) + (xy -3.823459 0.246999) + (xy -3.735383 0.317505) + (xy -3.695242 0.385916) + (xy -3.677851 0.473464) + (xy -3.696077 0.563505) + (xy -3.753689 0.664978) + (xy -3.854454 0.786822) + (xy -3.872314 0.806117) + (xy -4.042249 0.987778) + (xy -3.668889 0.987778) + (xy -3.668889 1.157111) + (xy -4.007555 1.157111) + (xy -4.150243 1.156752) + (xy -4.245893 1.154464) + (xy -4.303909 1.148434) + (xy -4.333699 1.136847) + (xy -4.344668 1.117891) + (xy -4.346222 1.091797) + (xy -4.325764 1.038063) + (xy -4.263488 0.954405) + (xy -4.158041 0.839101) + (xy -4.106333 0.786594) + (xy -4.01289 0.689532) + (xy -3.936459 0.60325) + (xy -3.884994 0.537215) + (xy -3.866444 0.501146) + (xy -3.889484 0.432401) + (xy -3.946316 0.388719) + (xy -4.018511 0.374) + (xy -4.087641 0.392142) + (xy -4.134192 0.4445) + (xy -4.173927 0.492658) + (xy -4.245723 0.507913) + (xy -4.254137 0.508) + (xy -4.324093 0.492812) + (xy -4.346948 0.44929) + (xy -4.322505 0.380495) + (xy -4.266935 0.307264) + (xy -4.171497 0.23685) + (xy -4.05607 0.204669) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp f065e534-641f-4c52-bb0c-146bb3d87f39)) + (fp_poly (pts + (xy -5.156981 1.498828) + (xy -5.056888 1.551976) + (xy -4.985978 1.635407) + (xy -4.975508 1.658056) + (xy -4.938727 1.749778) + (xy -5.037586 1.749778) + (xy -5.138182 1.729445) + (xy -5.192889 1.693334) + (xy -5.268142 1.64368) + (xy -5.349212 1.647116) + (xy -5.3923 1.666104) + (xy -5.440224 1.714413) + (xy -5.439139 1.770377) + (xy -5.391313 1.818059) + (xy -5.369278 1.827968) + (xy -5.295218 1.854914) + (xy -5.198742 1.889601) + (xy -5.157564 1.9043) + (xy -5.069894 1.944366) + (xy -5.000845 1.991397) + (xy -4.981175 2.01241) + (xy -4.943116 2.111525) + (xy -4.94848 2.220904) + (xy -4.992581 2.321289) + (xy -5.070732 2.393425) + (xy -5.074392 2.395393) + (xy -5.169045 2.425506) + (xy -5.289412 2.438572) + (xy -5.406754 2.43239) + (xy -5.446889 2.423901) + (xy -5.547608 2.376024) + (xy -5.626347 2.302113) + (xy -5.668921 2.217137) + (xy -5.672667 2.185649) + (xy -5.652262 2.132961) + (xy -5.602123 2.112364) + (xy -5.538866 2.123734) + (xy -5.479102 2.166945) + (xy -5.463595 2.187222) + (xy -5.408781 2.235352) + (xy -5.335242 2.257475) + (xy -5.257519 2.256107) + (xy -5.190154 2.233761) + (xy -5.147687 2.192953) + (xy -5.144661 2.136197) + (xy -5.146061 2.132315) + (xy -5.180032 2.102745) + (xy -5.25183 2.068504) + (xy -5.325607 2.04327) + (xy -5.425452 2.010581) + (xy -5.510983 1.976234) + (xy -5.55061 1.955564) + (xy -5.618551 1.879755) + (xy -5.648771 1.775457) + (xy -5.639874 1.67544) + (xy -5.589002 1.582272) + (xy -5.502267 1.517687) + (xy -5.39268 1.482024) + (xy -5.273248 1.475625) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp f1613a3e-a9b8-4d27-a0bf-2822fc7e6a42)) + (fp_poly (pts + (xy -12.01017 2.041228) + (xy -11.997775 2.078389) + (xy -11.999537 2.095212) + (xy -12.026247 2.148176) + (xy -12.073366 2.170852) + (xy -12.115765 2.155272) + (xy -12.132788 2.112817) + (xy -12.135555 2.083741) + (xy -12.116722 2.042818) + (xy -12.063037 2.032) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp f2c0e857-51b9-4357-a7cb-6da337b040c9)) + (fp_poly (pts + (xy 9.158111 -1.058333) + (xy 9.173587 -0.112889) + (xy 8.974667 -0.112889) + (xy 8.974667 -0.508) + (xy 8.579556 -0.508) + (xy 8.579556 -0.112889) + (xy 8.352413 -0.112889) + (xy 8.367889 -1.058333) + (xy 8.565445 -1.058333) + (xy 8.582135 -0.677333) + (xy 8.974667 -0.677333) + (xy 8.974667 -1.076021) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp f444bab3-08a6-4131-91c1-8419a1adae41)) + (fp_poly (pts + (xy 11.074059 -1.060789) + (xy 11.161309 -1.027278) + (xy 11.241786 -0.968536) + (xy 11.299025 -0.899331) + (xy 11.317111 -0.842818) + (xy 11.294872 -0.80359) + (xy 11.240109 -0.789358) + (xy 11.170752 -0.799818) + (xy 11.104735 -0.834663) + (xy 11.091333 -0.846666) + (xy 11.01708 -0.892177) + (xy 10.936675 -0.899601) + (xy 10.871454 -0.867468) + (xy 10.866681 -0.862133) + (xy 10.838073 -0.806133) + (xy 10.855344 -0.757085) + (xy 10.92191 -0.711211) + (xy 11.04119 -0.664729) + (xy 11.046832 -0.662892) + (xy 11.17655 -0.613599) + (xy 11.261292 -0.560665) + (xy 11.312266 -0.495049) + (xy 11.335645 -0.429841) + (xy 11.333659 -0.335439) + (xy 11.291931 -0.23977) + (xy 11.221658 -0.165891) + (xy 11.201649 -0.153891) + (xy 11.11925 -0.12925) + (xy 11.006549 -0.11681) + (xy 10.888529 -0.117396) + (xy 10.790171 -0.131829) + (xy 10.766778 -0.139569) + (xy 10.673798 -0.20551) + (xy 10.609146 -0.30698) + (xy 10.596584 -0.345722) + (xy 10.588103 -0.397599) + (xy 10.607514 -0.418998) + (xy 10.668499 -0.423323) + (xy 10.675806 -0.423333) + (xy 10.75944 -0.409563) + (xy 10.815271 -0.360651) + (xy 10.820627 -0.352778) + (xy 10.879221 -0.300741) + (xy 10.955179 -0.278751) + (xy 11.031707 -0.285098) + (xy 11.09201 -0.318073) + (xy 11.11929 -0.375965) + (xy 11.119556 -0.383207) + (xy 11.112883 -0.420135) + (xy 11.085333 -0.448715) + (xy 11.025606 -0.476193) + (xy 10.9224 -0.509815) + (xy 10.92185 -0.509982) + (xy 10.773229 -0.566375) + (xy 10.676441 -0.631676) + (xy 10.627038 -0.71046) + (xy 10.620577 -0.807305) + (xy 10.622165 -0.818435) + (xy 10.665629 -0.926395) + (xy 10.751244 -1.007781) + (xy 10.868809 -1.057123) + (xy 11.008125 -1.068949) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp f64e4c4f-0969-4ef7-b116-3a01ee273683)) + (fp_poly (pts + (xy -3.19681 2.756267) + (xy -3.08402 2.80715) + (xy -3.045855 2.838389) + (xy -2.97984 2.930373) + (xy -2.962016 3.026002) + (xy -2.992896 3.113301) + (xy -3.032373 3.154654) + (xy -3.101412 3.208961) + (xy -3.018262 3.270436) + (xy -2.949929 3.350611) + (xy -2.931981 3.446019) + (xy -2.964435 3.546411) + (xy -3.017607 3.614616) + (xy -3.08194 3.667183) + (xy -3.139801 3.695781) + (xy -3.151662 3.697497) + (xy -3.224696 3.703585) + (xy -3.259667 3.709336) + (xy -3.325514 3.710674) + (xy -3.408774 3.69838) + (xy -3.414442 3.697052) + (xy -3.505144 3.656473) + (xy -3.582943 3.589781) + (xy -3.631845 3.513046) + (xy -3.640667 3.46976) + (xy -3.618704 3.428311) + (xy -3.564974 3.412626) + (xy -3.497714 3.422289) + (xy -3.435161 3.456882) + (xy -3.417707 3.474861) + (xy -3.355473 3.518753) + (xy -3.278187 3.531872) + (xy -3.203587 3.517159) + (xy -3.149413 3.47756) + (xy -3.132667 3.42756) + (xy -3.157804 3.367039) + (xy -3.220883 3.320667) + (xy -3.303404 3.302001) + (xy -3.304016 3.302) + (xy -3.363032 3.294534) + (xy -3.384423 3.260132) + (xy -3.386667 3.219122) + (xy -3.378892 3.160183) + (xy -3.343848 3.134677) + (xy -3.297791 3.127399) + (xy -3.225311 3.106339) + (xy -3.17799 3.069167) + (xy -3.162369 3.006489) + (xy -3.188739 2.955102) + (xy -3.242832 2.921745) + (xy -3.31038 2.913157) + (xy -3.377114 2.936074) + (xy -3.405822 2.961577) + (xy -3.482327 3.010039) + (xy -3.548242 3.019778) + (xy -3.640828 3.019778) + (xy -3.604573 2.930925) + (xy -3.537829 2.835283) + (xy -3.438058 2.772709) + (xy -3.319604 2.745579) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp f83cd56c-cc5e-4dae-afef-55156eb2e9c7)) + (fp_poly (pts + (xy -7.761111 2.935111) + (xy -8.184444 2.935111) + (xy -8.184444 3.132667) + (xy -7.789333 3.132667) + (xy -7.789333 3.302) + (xy -8.184444 3.302) + (xy -8.184444 3.527778) + (xy -7.761111 3.527778) + (xy -7.761111 3.697111) + (xy -8.410222 3.697111) + (xy -8.410222 2.765778) + (xy -7.761111 2.765778) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp fb3173bc-b424-4cd3-9242-24b3fe35b7b2)) + (fp_poly (pts + (xy 8.7488 -3.526342) + (xy 8.834942 -3.52025) + (xy 8.892809 -3.506823) + (xy 8.936468 -3.483383) + (xy 8.962606 -3.462617) + (xy 9.014534 -3.402432) + (xy 9.042629 -3.322362) + (xy 9.052235 -3.253056) + (xy 9.057124 -3.162309) + (xy 9.045266 -3.10356) + (xy 9.009912 -3.053258) + (xy 8.988644 -3.031189) + (xy 8.911177 -2.953723) + (xy 8.991708 -2.79625) + (xy 9.035434 -2.710509) + (xy 9.068487 -2.645256) + (xy 9.082249 -2.617611) + (xy 9.063933 -2.604384) + (xy 9.006008 -2.597) + (xy 8.980951 -2.596444) + (xy 8.920356 -2.599311) + (xy 8.878477 -2.615366) + (xy 8.842004 -2.65579) + (xy 8.797626 -2.731766) + (xy 8.779388 -2.765778) + (xy 8.724881 -2.860262) + (xy 8.681694 -2.912692) + (xy 8.64012 -2.933393) + (xy 8.620234 -2.935111) + (xy 8.582033 -2.931308) + (xy 8.561407 -2.911073) + (xy 8.552969 -2.86117) + (xy 8.551334 -2.768361) + (xy 8.551333 -2.765778) + (xy 8.551333 -2.596444) + (xy 8.353778 -2.596444) + (xy 8.353778 -3.104444) + (xy 8.551333 -3.104444) + (xy 8.678938 -3.104444) + (xy 8.762763 -3.10952) + (xy 8.809574 -3.130096) + (xy 8.837064 -3.17143) + (xy 8.854842 -3.256708) + (xy 8.823008 -3.318366) + (xy 8.744794 -3.35262) + (xy 8.67734 -3.358444) + (xy 8.551333 -3.358444) + (xy 8.551333 -3.104444) + (xy 8.353778 -3.104444) + (xy 8.353778 -3.527778) + (xy 8.620315 -3.527778) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp fcc6abd3-b97f-4b36-abb6-cee5dcf294f1)) + (fp_poly (pts + (xy 9.398 -2.765778) + (xy 9.793111 -2.765778) + (xy 9.793111 -2.596444) + (xy 9.200445 -2.596444) + (xy 9.200445 -3.527778) + (xy 9.398 -3.527778) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp fd85d42d-e01c-4966-9129-eb8f47cd9999)) + ) + + (footprint "reform2-motherboard:mntreform" (layer "F.Cu") + (tedit 0) (tstamp 00000000-0000-0000-0000-00005f583572) + (at 187.1 79.6 90) + (property "LCSC" "DNP") + (property "Manufacturer_No" "DNP") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005b61922f") + (attr through_hole) + (fp_text reference "MK13" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 1.524 1.524) (thickness 0.3))) + (tstamp 135d25fd-151a-4eb9-8116-51469968956a) + ) + (fp_text value "LOGO" (at 0.75 0 90) (layer "F.SilkS") hide + (effects (font (size 1.524 1.524) (thickness 0.3))) + (tstamp ae89b47a-202f-48a9-8220-6d7f3fbf8200) + ) + (fp_poly (pts + (xy 7.991232 2.056282) + (xy 8.108758 2.135909) + (xy 8.187726 2.270403) + (xy 8.215834 2.448887) + (xy 8.195887 2.649864) + (xy 8.13069 2.85184) + (xy 8.023051 3.033319) + (xy 7.985869 3.077335) + (xy 7.870219 3.181113) + (xy 7.747202 3.231109) + (xy 7.660879 3.243809) + (xy 7.528934 3.245599) + (xy 7.424274 3.228387) + (xy 7.400925 3.218145) + (xy 7.310846 3.116448) + (xy 7.266466 2.965105) + (xy 7.263688 2.782945) + (xy 7.298416 2.588795) + (xy 7.366553 2.401485) + (xy 7.464001 2.239843) + (xy 7.586664 2.122696) + (xy 7.646262 2.090643) + (xy 7.83676 2.037587) + (xy 7.991232 2.056282) + ) (layer "F.SilkS") (width 0.01) (fill solid) (tstamp 14882673-55cd-46fb-9ea7-6cebe88472df)) + (fp_poly (pts + (xy 15.028334 4.826) + (xy -0.296333 4.826) + (xy -0.296333 3.771528) + (xy 4.691425 3.771528) + (xy 5.310815 3.7465) + (xy 5.383276 3.323166) + (xy 5.413816 3.14519) + (xy 5.438547 3.001896) + (xy 5.454332 2.911414) + (xy 5.458369 2.88925) + (xy 5.498866 2.88479) + (xy 5.605895 2.881259) + (xy 5.761323 2.879108) + (xy 5.881481 2.878666) + (xy 6.07687 2.878519) + (xy 6.204431 2.871043) + (xy 6.280941 2.845683) + (xy 6.323177 2.791887) + (xy 6.342036 2.721151) + (xy 6.660975 2.721151) + (xy 6.664272 3.029483) + (xy 6.73412 3.290223) + (xy 6.868907 3.500061) + (xy 7.067023 3.655685) + (xy 7.131415 3.688094) + (xy 7.325625 3.744376) + (xy 7.561391 3.766557) + (xy 7.802781 3.754654) + (xy 8.013863 3.708686) + (xy 8.067268 3.687639) + (xy 8.079775 3.679872) + (xy 8.932334 3.679872) + (xy 8.94012 3.72721) + (xy 8.976004 3.753645) + (xy 9.058769 3.765142) + (xy 9.207202 3.767666) + (xy 9.208829 3.767666) + (xy 9.384722 3.759843) + (xy 9.48269 3.735707) + (xy 9.506665 3.712052) + (xy 9.523715 3.645125) + (xy 9.548931 3.520067) + (xy 9.577218 3.362367) + (xy 9.580715 3.341635) + (xy 9.609793 3.180982) + (xy 9.635932 3.086724) + (xy 9.667784 3.041388) + (xy 9.714002 3.0275) + (xy 9.736598 3.026833) + (xy 9.789346 3.035633) + (xy 9.83344 3.072068) + (xy 9.878717 3.15119) + (xy 9.935016 3.288049) + (xy 9.971779 3.386666) + (xy 10.103785 3.7465) + (xy 10.428226 3.758864) + (xy 10.581744 3.7624) + (xy 10.696039 3.760623) + (xy 10.75068 3.753973) + (xy 10.752667 3.751912) + (xy 10.742923 3.720735) + (xy 10.999213 3.720735) + (xy 10.999339 3.746227) + (xy 11.04975 3.757415) + (xy 11.159665 3.765172) + (xy 11.285888 3.767666) + (xy 11.550997 3.767666) + (xy 11.595153 3.545416) + (xy 11.622593 3.400649) + (xy 11.657653 3.206443) + (xy 11.694217 2.996901) + (xy 11.707044 2.92147) + (xy 11.737361 2.747944) + (xy 11.763592 2.609219) + (xy 11.782069 2.524128) + (xy 11.787843 2.506712) + (xy 11.794852 2.511974) + (xy 11.806526 2.544916) + (xy 11.82513 2.614982) + (xy 11.852927 2.731616) + (xy 11.892182 2.904262) + (xy 11.945159 3.142363) + (xy 12.001347 3.39725) + (xy 12.073499 3.725333) + (xy 12.439598 3.725333) + (xy 12.767761 3.133072) + (xy 12.879478 2.934284) + (xy 12.977035 2.766055) + (xy 13.053227 2.640381) + (xy 13.100853 2.569259) + (xy 13.113089 2.557978) + (xy 13.113113 2.603795) + (xy 13.101008 2.716981) + (xy 13.078805 2.881433) + (xy 13.048533 3.081044) + (xy 13.042128 3.121053) + (xy 13.009097 3.329498) + (xy 12.981707 3.509644) + (xy 12.962537 3.643987) + (xy 12.954165 3.71502) + (xy 12.954 3.719249) + (xy 12.982587 3.748297) + (xy 13.074966 3.761073) + (xy 13.23975 3.759017) + (xy 13.5255 3.7465) + (xy 13.703001 2.709333) + (xy 13.75212 2.419917) + (xy 13.79622 2.155467) + (xy 13.833312 1.9283) + (xy 13.861404 1.750729) + (xy 13.878504 1.635069) + (xy 13.882917 1.596435) + (xy 13.87603 1.560188) + (xy 13.841855 1.538827) + (xy 13.764389 1.529586) + (xy 13.627631 1.529698) + (xy 13.515585 1.532935) + (xy 13.145836 1.545166) + (xy 12.785842 2.166562) + (xy 12.666874 2.369727) + (xy 12.562781 2.54334) + (xy 12.48072 2.675798) + (xy 12.427848 2.755499) + (xy 12.411425 2.773536) + (xy 12.396408 2.729604) + (xy 12.364725 2.618323) + (xy 12.320406 2.454441) + (xy 12.267483 2.252704) + (xy 12.241585 2.15214) + (xy 12.086167 1.545166) + (xy 11.717972 1.532967) + (xy 11.349776 1.520768) + (xy 11.324376 1.659967) + (xy 11.281057 1.903238) + (xy 11.234998 2.172056) + (xy 11.188144 2.453942) + (xy 11.142439 2.736414) + (xy 11.099828 3.006991) + (xy 11.062256 3.253193) + (xy 11.031666 3.462538) + (xy 11.010003 3.622545) + (xy 10.999213 3.720735) + (xy 10.742923 3.720735) + (xy 10.738515 3.706635) + (xy 10.700409 3.600312) + (xy 10.644874 3.450887) + (xy 10.603669 3.342154) + (xy 10.45467 2.951712) + (xy 10.630344 2.801342) + (xy 10.790472 2.631746) + (xy 10.883553 2.444383) + (xy 10.920329 2.214917) + (xy 10.922 2.140666) + (xy 10.888503 1.916511) + (xy 10.788233 1.744388) + (xy 10.621524 1.624702) + (xy 10.452642 1.569496) + (xy 10.343493 1.553797) + (xy 10.187203 1.541035) + (xy 10.001886 1.531533) + (xy 9.805658 1.525616) + (xy 9.616634 1.523611) + (xy 9.452932 1.525842) + (xy 9.332665 1.532633) + (xy 9.27395 1.544311) + (xy 9.271 1.548294) + (xy 9.264282 1.595117) + (xy 9.245409 1.713697) + (xy 9.216302 1.892304) + (xy 9.178881 2.119208) + (xy 9.135069 2.382677) + (xy 9.101667 2.582333) + (xy 9.054216 2.868813) + (xy 9.011898 3.131072) + (xy 8.976673 3.356397) + (xy 8.950503 3.532078) + (xy 8.935349 3.645405) + (xy 8.932334 3.679872) + (xy 8.079775 3.679872) + (xy 8.319003 3.531313) + (xy 8.525867 3.319724) + (xy 8.682922 3.06761) + (xy 8.78523 2.78971) + (xy 8.827853 2.500764) + (xy 8.805853 2.215509) + (xy 8.714293 1.948687) + (xy 8.673954 1.876747) + (xy 8.537442 1.715707) + (xy 8.354337 1.607635) + (xy 8.113094 1.546859) + (xy 7.974175 1.532878) + (xy 7.681292 1.538173) + (xy 7.433834 1.600994) + (xy 7.208452 1.729489) + (xy 7.064829 1.850121) + (xy 6.859926 2.098255) + (xy 6.724856 2.394102) + (xy 6.660975 2.721151) + (xy 6.342036 2.721151) + (xy 6.347916 2.6991) + (xy 6.369389 2.57175) + (xy 6.39617 2.413) + (xy 5.970918 2.413) + (xy 5.771951 2.412189) + (xy 5.64425 2.40419) + (xy 5.574422 2.380649) + (xy 5.549075 2.333215) + (xy 5.554815 2.253534) + (xy 5.572125 2.164291) + (xy 5.598584 2.032) + (xy 6.550589 2.032) + (xy 6.576829 1.87325) + (xy 6.594704 1.740592) + (xy 6.603393 1.628194) + (xy 6.603534 1.61925) + (xy 6.604 1.524) + (xy 5.842807 1.524) + (xy 5.572193 1.524286) + (xy 5.374387 1.526106) + (xy 5.237527 1.530904) + (xy 5.149755 1.540123) + (xy 5.099211 1.555204) + (xy 5.074035 1.577591) + (xy 5.062367 1.608727) + (xy 5.059896 1.61925) + (xy 5.046816 1.688076) + (xy 5.022504 1.826758) + (xy 4.989289 2.021578) + (xy 4.949502 2.258821) + (xy 4.905475 2.524767) + (xy 4.889073 2.624666) + (xy 4.84385 2.89937) + (xy 4.801852 3.151987) + (xy 4.765463 3.368362) + (xy 4.73707 3.534342) + (xy 4.719059 3.635773) + (xy 4.715695 3.653181) + (xy 4.691425 3.771528) + (xy -0.296333 3.771528) + (xy -0.296333 3.707921) + (xy 0.762 3.707921) + (xy 0.783206 3.741171) + (xy 0.856224 3.759996) + (xy 0.995153 3.767292) + (xy 1.052799 3.767666) + (xy 1.343598 3.767666) + (xy 1.402329 3.39725) + (xy 1.431899 3.220256) + (xy 1.456798 3.110912) + (xy 1.484156 3.053029) + (xy 1.521101 3.030416) + (xy 1.565427 3.026833) + (xy 1.618658 3.035432) + (xy 1.663063 3.071253) + (xy 1.708608 3.149332) + (xy 1.765258 3.284708) + (xy 1.803876 3.386666) + (xy 1.937956 3.7465) + (xy 2.263582 3.758925) + (xy 2.405214 3.765615) + (xy 2.499167 3.764527) + (xy 2.549167 3.743012) + (xy 2.553084 3.721126) + (xy 2.828852 3.721126) + (xy 2.829006 3.746227) + (xy 2.876194 3.753052) + (xy 2.994283 3.75898) + (xy 3.169511 3.763639) + (xy 3.388113 3.766657) + (xy 3.622835 3.767666) + (xy 4.395225 3.767666) + (xy 4.423205 3.58775) + (xy 4.445679 3.456991) + (xy 4.467195 3.352895) + (xy 4.472112 3.33375) + (xy 4.474195 3.30195) + (xy 4.450121 3.280776) + (xy 4.386453 3.268103) + (xy 4.269755 3.261809) + (xy 4.086591 3.259768) + (xy 4.003353 3.259666) + (xy 3.793866 3.259027) + (xy 3.6547 3.255246) + (xy 3.571509 3.245531) + (xy 3.529948 3.227087) + (xy 3.515671 3.197121) + (xy 3.514245 3.164416) + (xy 3.526262 3.041815) + (xy 3.540349 2.973916) + (xy 3.555913 2.932064) + (xy 3.586179 2.904693) + (xy 3.646797 2.888737) + (xy 3.753417 2.881129) + (xy 3.921689 2.878805) + (xy 4.026604 2.878666) + (xy 4.230407 2.877328) + (xy 4.363996 2.871769) + (xy 4.441799 2.859671) + (xy 4.478247 2.838716) + (xy 4.487771 2.806585) + (xy 4.487799 2.804583) + (xy 4.494862 2.714259) + (xy 4.511561 2.590006) + (xy 4.514505 2.57175) + (xy 4.540745 2.413) + (xy 3.630084 2.413) + (xy 3.656542 2.280708) + (xy 3.675413 2.168843) + (xy 3.683 2.090208) + (xy 3.699983 2.064617) + (xy 3.758884 2.047406) + (xy 3.871636 2.037199) + (xy 4.050168 2.032619) + (xy 4.187771 2.032) + (xy 4.692542 2.032) + (xy 4.730536 1.80975) + (xy 4.749093 1.679258) + (xy 4.755683 1.584447) + (xy 4.752433 1.55575) + (xy 4.707023 1.545689) + (xy 4.59053 1.536941) + (xy 4.416534 1.530049) + (xy 4.198615 1.525555) + (xy 3.957587 1.524) + (xy 3.178837 1.524) + (xy 3.153739 1.661583) + (xy 3.110408 1.904994) + (xy 3.064347 2.173887) + (xy 3.017503 2.45578) + (xy 2.971819 2.73819) + (xy 2.929239 3.008636) + (xy 2.891708 3.254634) + (xy 2.86117 3.463704) + (xy 2.83957 3.623362) + (xy 2.828852 3.721126) + (xy 2.553084 3.721126) + (xy 2.558939 3.688417) + (xy 2.532207 3.588094) + (xy 2.472697 3.429392) + (xy 2.409013 3.265014) + (xy 2.289375 2.952861) + (xy 2.481862 2.77818) + (xy 2.643939 2.587356) + (xy 2.739306 2.380048) + (xy 2.770254 2.169867) + (xy 2.739075 1.970421) + (xy 2.648061 1.795319) + (xy 2.499502 1.658171) + (xy 2.295692 1.572585) + (xy 2.278178 1.56861) + (xy 2.171638 1.553441) + (xy 2.017253 1.540998) + (xy 1.833198 1.531621) + (xy 1.637646 1.525649) + (xy 1.448773 1.523421) + (xy 1.284752 1.525276) + (xy 1.163758 1.531555) + (xy 1.103966 1.542596) + (xy 1.100667 1.546622) + (xy 1.094135 1.592932) + (xy 1.075765 1.711256) + (xy 1.047398 1.890053) + (xy 1.010873 2.117783) + (xy 0.968031 2.382904) + (xy 0.931334 2.60871) + (xy 0.884661 2.897535) + (xy 0.842913 3.160334) + (xy 0.807952 3.385025) + (xy 0.781639 3.559521) + (xy 0.765836 3.671738) + (xy 0.762 3.707921) + (xy -0.296333 3.707921) + (xy -0.296333 0.338666) + (xy 15.028334 0.338666) + (xy 15.028334 4.826) + ) (layer "F.SilkS") (width 0.01) (fill solid) (tstamp 24e165fc-ed31-459c-bcb8-6aed9ef91c58)) + (fp_poly (pts + (xy 10.117124 2.042305) + (xy 10.213493 2.068317) + (xy 10.2362 2.0828) + (xy 10.283474 2.182328) + (xy 10.282771 2.309656) + (xy 10.238382 2.425977) + (xy 10.192914 2.474099) + (xy 10.098837 2.51513) + (xy 9.979031 2.536803) + (xy 9.860644 2.538622) + (xy 9.770822 2.520094) + (xy 9.736667 2.482408) + (xy 9.742154 2.407635) + (xy 9.755996 2.286143) + (xy 9.763588 2.228408) + (xy 9.790508 2.032) + (xy 9.987954 2.032) + (xy 10.117124 2.042305) + ) (layer "F.SilkS") (width 0.01) (fill solid) (tstamp 517caf19-97ac-4bac-b551-f556efa54022)) + (fp_poly (pts + (xy 1.94679 2.042305) + (xy 2.04316 2.068317) + (xy 2.065867 2.0828) + (xy 2.113141 2.182328) + (xy 2.112437 2.309656) + (xy 2.068049 2.425977) + (xy 2.022581 2.474099) + (xy 1.928503 2.51513) + (xy 1.808697 2.536803) + (xy 1.69031 2.538622) + (xy 1.600489 2.520094) + (xy 1.566334 2.482408) + (xy 1.57182 2.407635) + (xy 1.585662 2.286143) + (xy 1.593254 2.228408) + (xy 1.620175 2.032) + (xy 1.817621 2.032) + (xy 1.94679 2.042305) + ) (layer "F.SilkS") (width 0.01) (fill solid) (tstamp 5599e14c-1d74-4b0f-91f6-2a7308278c89)) + (fp_poly (pts + (xy -3.937 -1.164532) + (xy -3.937 -3.556) + (xy 0.635 -3.556) + (xy 0.635 -1.693334) + (xy -2.116666 -1.693334) + (xy -2.116666 3.280468) + (xy -5.799666 -0.401802) + (xy -5.799666 3.280468) + (xy -9.481752 -0.400888) + (xy -9.492793 1.429465) + (xy -9.503833 3.259818) + (xy -11.33475 1.428886) + (xy -13.165666 -0.402045) + (xy -13.165666 1.058333) + (xy -14.986 1.058333) + (xy -14.986 -4.846802) + (xy -11.303 -1.164532) + (xy -11.303 -4.846802) + (xy -7.62 -1.164532) + (xy -7.62 -4.846802) + (xy -3.937 -1.164532) + ) (layer "F.SilkS") (width 0.01) (fill solid) (tstamp 5a14b5d3-a2d1-426e-942e-d878e5a9191b)) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 003c521b-d6b1-43ce-a36e-f45ff9ccea85) + (at 173.1 62.5 -90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "LCSC" "C14663") + (property "Manufacturer" "Yageo") + (property "Manufacturer_No" "CC0603JPX7R9BB104") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/53fba2c0-bfea-4826-b8bc-18c0bcf5e5bb") + (attr smd) + (fp_text reference "C16" (at 0 1.27 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 48080430-56c6-4b8a-a312-73823af6d8eb) + ) + (fp_text value "0.1uF" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 92ac3c20-33f3-48fa-9d85-095e028f89ea) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp f9fa06ea-e3e3-4f7f-9ea4-bd19055f705a) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 21167013-d5ce-4549-ae29-8a34a57d236d)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 663bed76-c351-45b9-887b-69b09c4ba9a9)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 5930c779-8c52-475e-bf99-c19d9743aa22)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 5c9a6e7e-2019-432f-a409-70026527249e)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 84c3adc7-a70e-467e-97e5-37f95bfced14)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp a6417a87-cbe1-4f76-9dbb-9953c64ac411)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 18eef11f-b07a-4005-a4f4-bbf697e8b0b1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 1d1b2c52-b632-4225-86f5-8b41b5a67e59)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 7ecc0103-4a62-4da3-a98a-a0194788fd8a)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 98ea9edd-2c08-4ec6-a9b0-75fb0ac708e2)) + (pad "1" smd roundrect (at -0.775 0 270) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "+5V") (pintype "passive") (tstamp 1a8b76f5-d1dd-4619-b1ed-30fc1c23ee90)) + (pad "2" smd roundrect (at 0.775 0 270) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 5b711db8-3527-48fd-8ad1-2511ed1364f6)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "MCU_RaspberryPi_and_Boards:RP2040-QFN-56" (layer "F.Cu") + (tedit 5EF32B43) (tstamp 01fdb066-a87f-469c-aedd-c6b50e49b8aa) + (at 117.4 83.3) + (descr "QFN, 56 Pin (http://www.cypress.com/file/416486/download#page=40), generated with kicad-footprint-generator ipc_dfn_qfn_generator.py") + (tags "QFN DFN_QFN") + (property "LCSC" "C2040") + (property "Manufacturer" "Raspberry Pi") + (property "Manufacturer_No" "RP2040") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/7a50bf9f-4e50-4a08-9fc0-74d671af1d04") + (attr smd) + (fp_text reference "U4" (at 0 -4.72) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 40c8e655-7341-4885-8c8d-7b5167388112) + ) + (fp_text value "RP2040" (at 0 4.82) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 63d618ab-b14e-4d8b-b4b9-93250a810480) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 26e710fa-e187-4e29-9de4-4e8ff64e7115) + ) + (fp_line (start -2.96 3.61) (end -3.61 3.61) (layer "F.SilkS") (width 0.12) (tstamp 424f4081-7ea5-4682-9340-63ad3b63825a)) + (fp_line (start 3.61 -3.61) (end 3.61 -2.96) (layer "F.SilkS") (width 0.12) (tstamp 534369cd-0130-4870-b9b2-eeaea5bdaf34)) + (fp_line (start 2.96 3.61) (end 3.61 3.61) (layer "F.SilkS") (width 0.12) (tstamp 719421a3-64ab-42be-82c4-287bbaf79c49)) + (fp_line (start -3.61 3.61) (end -3.61 2.96) (layer "F.SilkS") (width 0.12) (tstamp 8a319a49-da7c-4605-9edc-b6b88d6dcace)) + (fp_line (start -2.96 -3.61) (end -3.61 -3.61) (layer "F.SilkS") (width 0.12) (tstamp a30772ac-39e3-44e3-9f32-e1ea63c13a8d)) + (fp_line (start 3.61 3.61) (end 3.61 2.96) (layer "F.SilkS") (width 0.12) (tstamp e69e58e8-a6b9-465f-ac96-56108c089b42)) + (fp_line (start 2.96 -3.61) (end 3.61 -3.61) (layer "F.SilkS") (width 0.12) (tstamp f5fd901d-bb62-4aaa-95cf-27f5d0887927)) + (fp_line (start -4.12 -4.12) (end -4.12 4.12) (layer "F.CrtYd") (width 0.05) (tstamp 55f70603-f8f6-49f0-80f8-cc3a768c13e0)) + (fp_line (start 4.12 -4.12) (end -4.12 -4.12) (layer "F.CrtYd") (width 0.05) (tstamp 66f3de78-c9d9-483e-bcfd-31650773f2af)) + (fp_line (start 4.12 4.12) (end 4.12 -4.12) (layer "F.CrtYd") (width 0.05) (tstamp 6c5c9815-f3e2-4d91-9d67-c04651c16947)) + (fp_line (start -4.12 4.12) (end 4.12 4.12) (layer "F.CrtYd") (width 0.05) (tstamp 87e9f15d-ead3-4eb3-b376-13ccb17b64e9)) + (fp_line (start 3.5 3.5) (end -3.5 3.5) (layer "F.Fab") (width 0.1) (tstamp 89224ec1-a4ea-49ae-afe6-848488ef152b)) + (fp_line (start 3.5 -3.5) (end 3.5 3.5) (layer "F.Fab") (width 0.1) (tstamp a78886fd-1fd1-4cb9-be01-8d2d87401b11)) + (fp_line (start -3.5 -2.5) (end -2.5 -3.5) (layer "F.Fab") (width 0.1) (tstamp c008e4af-391b-4da0-80e9-6309dc522cc7)) + (fp_line (start -2.5 -3.5) (end 3.5 -3.5) (layer "F.Fab") (width 0.1) (tstamp f8f8e06f-de48-40ca-a115-6ea1ab576a37)) + (fp_line (start -3.5 3.5) (end -3.5 -2.5) (layer "F.Fab") (width 0.1) (tstamp fb3a4ec9-1881-4bf8-b816-36a975625905)) + (pad "" smd roundrect locked (at -0.6375 -0.6375) (size 1.084435 1.084435) (layers "F.Paste") (roundrect_rratio 0.2305347946) (tstamp 4709c8c0-c285-4179-80d8-1c68c6b4b1ab)) + (pad "" smd roundrect locked (at 0.6375 -0.6375) (size 1.084435 1.084435) (layers "F.Paste") (roundrect_rratio 0.2305347946) (tstamp 4b6b2bce-1915-4957-a689-a90edd28f844)) + (pad "" smd roundrect locked (at 0.6375 0.6375) (size 1.084435 1.084435) (layers "F.Paste") (roundrect_rratio 0.2305347946) (tstamp 8e42e473-9e0e-488e-89ba-7f5913ea26f1)) + (pad "" smd roundrect locked (at -0.6375 0.6375) (size 1.084435 1.084435) (layers "F.Paste") (roundrect_rratio 0.2305347946) (tstamp 9be93230-8e67-4c0d-9153-9047436e32f5)) + (pad "1" smd roundrect locked (at -3.4375 -2.6) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 10 "+3V3") (pinfunction "IOVDD") (pintype "power_in") (tstamp 5975f01a-610f-490e-b296-f1b3c751fbd6)) + (pad "2" smd roundrect locked (at -3.4375 -2.2) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 12 "SDA") (pinfunction "GPIO0") (pintype "bidirectional") (tstamp e31ba313-0add-40a3-8a7f-cd4c405ba338)) + (pad "3" smd roundrect locked (at -3.4375 -1.8) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 11 "SCL") (pinfunction "GPIO1") (pintype "bidirectional") (tstamp 666f63f2-f9b2-4a47-a90a-fece5b9dae61)) + (pad "4" smd roundrect locked (at -3.4375 -1.4) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "unconnected-(U4-Pad4)") (pinfunction "GPIO2") (pintype "bidirectional+no_connect") (tstamp 00fb83d9-fc01-42a2-9fd9-b7df476dd474)) + (pad "5" smd roundrect locked (at -3.4375 -1) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 47 "unconnected-(U4-Pad5)") (pinfunction "GPIO3") (pintype "bidirectional+no_connect") (tstamp bf6c81a9-c877-44e2-b4cd-4da46f7e7e11)) + (pad "6" smd roundrect locked (at -3.4375 -0.6) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 48 "unconnected-(U4-Pad6)") (pinfunction "GPIO4") (pintype "bidirectional+no_connect") (tstamp b547e680-0d28-41ca-b95d-d73b894ade74)) + (pad "7" smd roundrect locked (at -3.4375 -0.2) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 45 "unconnected-(U4-Pad7)") (pinfunction "GPIO5") (pintype "bidirectional+no_connect") (tstamp 920418c3-c219-44ea-8bea-fb3407c67f4c)) + (pad "8" smd roundrect locked (at -3.4375 0.2) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 58 "unconnected-(U4-Pad8)") (pinfunction "GPIO6") (pintype "bidirectional+no_connect") (tstamp 5b6f3b1e-207e-4ba8-952e-9f23ba3fd0ad)) + (pad "9" smd roundrect locked (at -3.4375 0.6) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 57 "unconnected-(U4-Pad9)") (pinfunction "GPIO7") (pintype "bidirectional+no_connect") (tstamp 263e5887-7a2b-4bcd-9115-a003f2992817)) + (pad "10" smd roundrect locked (at -3.4375 1) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 10 "+3V3") (pinfunction "IOVDD") (pintype "power_in") (tstamp 1ced644d-8a5c-44bf-b612-2f809e2f8261)) + (pad "11" smd roundrect locked (at -3.4375 1.4) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 56 "unconnected-(U4-Pad11)") (pinfunction "GPIO8") (pintype "bidirectional+no_connect") (tstamp 8413a19c-db42-4d5e-9e67-ed486f50f1d2)) + (pad "12" smd roundrect locked (at -3.4375 1.8) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 55 "unconnected-(U4-Pad12)") (pinfunction "GPIO9") (pintype "bidirectional+no_connect") (tstamp 4d10ac57-3c1d-44d1-b523-6e2af0a7b29f)) + (pad "13" smd roundrect locked (at -3.4375 2.2) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 54 "unconnected-(U4-Pad13)") (pinfunction "GPIO10") (pintype "bidirectional+no_connect") (tstamp 2aaf8c37-4392-4cf0-93c3-ee886309108d)) + (pad "14" smd roundrect locked (at -3.4375 2.6) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 44 "unconnected-(U4-Pad14)") (pinfunction "GPIO11") (pintype "bidirectional+no_connect") (tstamp 5bb8402c-540a-4be2-90be-6901f0e7bc7f)) + (pad "15" smd roundrect locked (at -2.6 3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 43 "unconnected-(U4-Pad15)") (pinfunction "GPIO12") (pintype "bidirectional+no_connect") (tstamp c85ae14d-8cee-4f1d-892f-ced6ad5288fb)) + (pad "16" smd roundrect locked (at -2.2 3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 42 "unconnected-(U4-Pad16)") (pinfunction "GPIO13") (pintype "bidirectional+no_connect") (tstamp e0d0ef9a-cc29-45a5-ba6f-b69ca3458fa1)) + (pad "17" smd roundrect locked (at -1.8 3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 41 "unconnected-(U4-Pad17)") (pinfunction "GPIO14") (pintype "bidirectional+no_connect") (tstamp f165fe75-c037-46ac-b999-db59b79b2d9c)) + (pad "18" smd roundrect locked (at -1.4 3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 40 "unconnected-(U4-Pad18)") (pinfunction "GPIO15") (pintype "bidirectional+no_connect") (tstamp 4f02179d-1136-4cfb-ad19-0f115600a014)) + (pad "19" smd roundrect locked (at -1 3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "TESTEN") (pintype "passive") (tstamp fd4426aa-13d0-423c-b6b9-4eac4fa5a72a)) + (pad "20" smd roundrect locked (at -0.6 3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 7 "Net-(C1-Pad1)") (pinfunction "XIN") (pintype "input") (tstamp 72d726fa-6d90-4503-be2f-6f8a3d8bacb9)) + (pad "21" smd roundrect locked (at -0.2 3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 52 "Net-(R1-Pad2)") (pinfunction "XOUT") (pintype "passive") (tstamp 076eb927-3d46-4a02-9606-353f0ad48221)) + (pad "22" smd roundrect locked (at 0.2 3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 10 "+3V3") (pinfunction "IOVDD") (pintype "power_in") (tstamp a06f1fc7-5ab4-4723-81c4-0f3820d9e4b8)) + (pad "23" smd roundrect locked (at 0.6 3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "+1V1") (pinfunction "DVDD") (pintype "power_in") (tstamp 9599fa5f-6f95-43e1-a114-a47b273efb03)) + (pad "24" smd roundrect locked (at 1 3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 16 "SWC") (pinfunction "SWCLK") (pintype "output") (tstamp f03f55de-d373-48ec-9dbe-d0d0adec259a)) + (pad "25" smd roundrect locked (at 1.4 3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 15 "SWD") (pinfunction "SWD") (pintype "bidirectional") (tstamp 14803a49-4d31-4b8d-9c88-f299f9c6d9f4)) + (pad "26" smd roundrect locked (at 1.8 3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 13 "RESET") (pinfunction "RUN") (pintype "input") (tstamp 1d39649f-62bf-400e-9a68-821a3fed2758)) + (pad "27" smd roundrect locked (at 2.2 3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 6 "MT") (pinfunction "GPIO16") (pintype "bidirectional") (tstamp eb87634c-0a24-4c22-a613-24b02cc87f42)) + (pad "28" smd roundrect locked (at 2.6 3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 64 "Net-(SW5-Pad1)") (pinfunction "GPIO17") (pintype "bidirectional") (tstamp e681df62-d41e-4f17-b2e9-7b3665eb7d10)) + (pad "29" smd roundrect locked (at 3.4375 2.6) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 63 "Net-(SW4-Pad1)") (pinfunction "GPIO18") (pintype "bidirectional") (tstamp e9e13584-3706-4d6f-831a-fa3cb32d12d2)) + (pad "30" smd roundrect locked (at 3.4375 2.2) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 62 "Net-(SW3-Pad1)") (pinfunction "GPIO19") (pintype "bidirectional") (tstamp b9d3bb34-4636-49dc-9c3d-41fc00a94a83)) + (pad "31" smd roundrect locked (at 3.4375 1.8) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 53 "Net-(SW1-Pad1)") (pinfunction "GPIO20") (pintype "bidirectional") (tstamp 985fd5f3-6af4-4ae8-a42d-ff3618876ccb)) + (pad "32" smd roundrect locked (at 3.4375 1.4) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 59 "Net-(SW2-Pad1)") (pinfunction "GPIO21") (pintype "bidirectional") (tstamp bcece3bf-4407-48ff-981b-c694b4e89afb)) + (pad "33" smd roundrect locked (at 3.4375 1) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 10 "+3V3") (pinfunction "IOVDD") (pintype "power_in") (tstamp 677e36d4-fdc0-4f02-816a-cff7b98c377c)) + (pad "34" smd roundrect locked (at 3.4375 0.6) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 39 "unconnected-(U4-Pad34)") (pinfunction "GPIO22") (pintype "bidirectional+no_connect") (tstamp 6ad5c8e3-405d-4457-b239-10abb6e3be61)) + (pad "35" smd roundrect locked (at 3.4375 0.2) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 60 "unconnected-(U4-Pad35)") (pinfunction "GPIO23") (pintype "bidirectional+no_connect") (tstamp afa7bcab-4b81-4d4e-9268-82ce4c494380)) + (pad "36" smd roundrect locked (at 3.4375 -0.2) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "LED_DATA") (pinfunction "GPIO24") (pintype "bidirectional") (tstamp 3c667cba-91d1-4464-8b34-8c6b7fcac30a)) + (pad "37" smd roundrect locked (at 3.4375 -0.6) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 38 "unconnected-(U4-Pad37)") (pinfunction "GPIO25") (pintype "bidirectional+no_connect") (tstamp dd1fa08e-e299-44e2-b796-141819fb4651)) + (pad "38" smd roundrect locked (at 3.4375 -1) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "unconnected-(U4-Pad38)") (pinfunction "GPIO26_ADC0") (pintype "bidirectional+no_connect") (tstamp 9aaf0514-2927-4794-badc-4cc58d8d9fe8)) + (pad "39" smd roundrect locked (at 3.4375 -1.4) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 36 "unconnected-(U4-Pad39)") (pinfunction "GPIO27_ADC1") (pintype "bidirectional+no_connect") (tstamp 59471a0d-1594-45da-b757-b7a334f78bef)) + (pad "40" smd roundrect locked (at 3.4375 -1.8) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "unconnected-(U4-Pad40)") (pinfunction "GPIO28_ADC2") (pintype "bidirectional+no_connect") (tstamp 93a8c13a-56cf-4c81-ba35-f91f1e512315)) + (pad "41" smd roundrect locked (at 3.4375 -2.2) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 34 "unconnected-(U4-Pad41)") (pinfunction "GPIO29_ADC3") (pintype "bidirectional+no_connect") (tstamp 0cf0c4b0-36cf-4067-8907-36d99d44645c)) + (pad "42" smd roundrect locked (at 3.4375 -2.6) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 10 "+3V3") (pinfunction "IOVDD") (pintype "power_in") (tstamp 54f2aadc-6c67-45f7-a995-192b30cba7d0)) + (pad "43" smd roundrect locked (at 2.6 -3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 10 "+3V3") (pinfunction "ADC_AVDD") (pintype "power_in") (tstamp 71e39ffd-3201-49e4-aa2f-1bb383ce04ec)) + (pad "44" smd roundrect locked (at 2.2 -3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 10 "+3V3") (pinfunction "VREG_IN") (pintype "power_in") (tstamp b212d6ec-c50e-4013-a932-130ab8b4a4f5)) + (pad "45" smd roundrect locked (at 1.8 -3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "+1V1") (pinfunction "VREG_VOUT") (pintype "power_out") (tstamp 6a36caa8-ab8f-4fae-8466-a01178993630)) + (pad "46" smd roundrect locked (at 1.4 -3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 31 "/D-") (pinfunction "USB_DM") (pintype "bidirectional") (tstamp b5ed4283-32c7-4821-bf8a-efdd64e538b4)) + (pad "47" smd roundrect locked (at 1 -3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 28 "/D+") (pinfunction "USB_DP") (pintype "bidirectional") (tstamp 73343cc2-5396-4bac-a898-561589bb74cc)) + (pad "48" smd roundrect locked (at 0.6 -3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "+5V") (pinfunction "USB_VDD") (pintype "power_in") (tstamp f83ab25f-30d3-4036-907c-2dff3354852a)) + (pad "49" smd roundrect locked (at 0.2 -3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 10 "+3V3") (pinfunction "IOVDD") (pintype "power_in") (tstamp b0350f09-3b4c-4c2f-823e-68f5ed02e87f)) + (pad "50" smd roundrect locked (at -0.2 -3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "+1V1") (pinfunction "DVDD") (pintype "power_in") (tstamp f83b2011-56a4-4738-9759-82c041a54cd2)) + (pad "51" smd roundrect locked (at -0.6 -3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 25 "QSPI_SD3") (pinfunction "QSPI_SD3") (pintype "bidirectional") (tstamp 42e2a60f-6e29-48b6-9d39-3d8e5ec4e0cb)) + (pad "52" smd roundrect locked (at -1 -3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 24 "QSPI_SCK") (pinfunction "QSPI_SCLK") (pintype "output") (tstamp 4a1dbcb4-ac35-4d6f-afb3-f98894298a3b)) + (pad "53" smd roundrect locked (at -1.4 -3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 23 "QSPI_SD0") (pinfunction "QSPI_SD0") (pintype "bidirectional") (tstamp 249b64a0-39fb-4071-b23e-bf9bccb7abc0)) + (pad "54" smd roundrect locked (at -1.8 -3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 22 "QSPI_SD2") (pinfunction "QSPI_SD2") (pintype "bidirectional") (tstamp 6ee24903-dd9c-4b80-9fbb-1ed43d5b5fed)) + (pad "55" smd roundrect locked (at -2.2 -3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 21 "QSPI_SD1") (pinfunction "QSPI_SD1") (pintype "bidirectional") (tstamp be0f6ff5-89d1-4987-92dc-0284b6ca8ea9)) + (pad "56" smd roundrect locked (at -2.6 -3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 14 "QSPI_CS") (pinfunction "QSPI_SS") (pintype "bidirectional") (tstamp a4311fa4-ba59-421f-b3c2-d5a599f12e31)) + (pad "57" thru_hole circle locked (at 0 0) (size 0.6 0.6) (drill 0.35) (layers *.Cu) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 1360c45e-a323-4701-bbd5-3356d317c548)) + (pad "57" thru_hole circle locked (at 0 -1.275) (size 0.6 0.6) (drill 0.35) (layers *.Cu) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 29902c54-555d-4f3d-8424-9457e1979181)) + (pad "57" thru_hole circle locked (at -1.275 0) (size 0.6 0.6) (drill 0.35) (layers *.Cu) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 352b0a7d-3f24-4a0b-a3df-fdd93f6b208f)) + (pad "57" thru_hole circle locked (at 1.275 1.275) (size 0.6 0.6) (drill 0.35) (layers *.Cu) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 3be18151-77b1-489d-ae85-4670ddf010eb)) + (pad "57" thru_hole circle locked (at 1.275 0) (size 0.6 0.6) (drill 0.35) (layers *.Cu) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 5ceea8f2-f416-4c6d-9821-df752bd8836c)) + (pad "57" thru_hole circle locked (at 0 1.275) (size 0.6 0.6) (drill 0.35) (layers *.Cu) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 6315b159-71f7-4faa-954d-f775bc821c86)) + (pad "57" thru_hole circle locked (at -1.275 1.275) (size 0.6 0.6) (drill 0.35) (layers *.Cu) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 6e358669-3de0-4722-aa93-76f2cbf033ca)) + (pad "57" smd roundrect locked (at 0 0) (size 3.2 3.2) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.045) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp e9aa2fb5-2618-4199-8a86-7c2846f0622c)) + (pad "57" thru_hole circle locked (at -1.275 -1.275) (size 0.6 0.6) (drill 0.35) (layers *.Cu) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp edb123bb-7dba-41db-8cf8-49d551b88892)) + (pad "57" thru_hole circle locked (at 1.275 -1.275) (size 0.6 0.6) (drill 0.35) (layers *.Cu) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp f4077211-e2b9-4e29-b066-b7867371809f)) + (model "${KISYS3DMOD}/Package_DFN_QFN.3dshapes/QFN-56-1EP_7x7mm_P0.4mm_EP5.6x5.6mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model "${KICAD6_3DMODEL_DIR}/Package_DFN_QFN.3dshapes/QFN-56-1EP_7x7mm_P0.4mm_EP5.6x5.6mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 0512ec03-814f-406c-b602-5a58d91b0480) + (at 122.95 77.2) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "LCSC" "C14663") + (property "Manufacturer" "Yageo") + (property "Manufacturer_No" "CC0603JPX7R9BB104") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/ccc2a869-0c2c-4eef-b37f-ac9436f91a3f") + (attr smd) + (fp_text reference "C21" (at 2.8 0.07) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 7827ad2f-7f4c-45c4-a12e-e96aa718298b) + ) + (fp_text value "0.1uF" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f5fcf7cf-f0b9-4e1e-8db0-1039e7cb4490) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 0693a3fc-650d-45c8-99a8-debc6499a2b8) + ) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 43491fb0-5306-4474-ab05-8553bc2be2c1)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 6cbea66a-79d5-4255-a280-2108509e90e3)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 07f7250e-315a-4ade-ad2d-882886a65f98)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 43101440-7934-4ff6-985f-fe7cef6a252b)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp cc463a18-acf7-4e27-b960-7dd8ef3c3ff8)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp db9d479b-b091-4032-a76a-285f03a7114a)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 0ca5993b-b070-4453-bf20-3fdff9fc0487)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 42c4b35f-2416-4274-a8c8-f4ae4394843a)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 64c221f8-c3e1-4d11-9be2-0bfc59f0bd35)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp c87557e9-4988-4480-ad32-07c14d43c827)) + (pad "1" smd roundrect (at -0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 10 "+3V3") (pintype "passive") (tstamp 0f958220-d0f3-4271-ad65-f80efa3de4cf)) + (pad "2" smd roundrect (at 0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp b25a38fa-fa39-435e-9d0e-93c142a2063f)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 07cdcf97-8c58-4d41-9256-6e91738b1100) + (at 152.95 87.3 -90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "LCSC" "C14663") + (property "Manufacturer" "Yageo") + (property "Manufacturer_No" "CC0603JPX7R9BB104") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/9276a4ae-59d6-4199-8a30-21c624ecb42e") + (attr smd) + (fp_text reference "C11" (at 0 1.27 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 3e51d581-e776-49b8-b4ef-540738351fa3) + ) + (fp_text value "0.1uF" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 00d69a68-96b0-4722-9808-32b3fdc62f44) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp f785caf2-246d-4ea6-b6b5-e6d34d7a8f8a) + ) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 7758504b-7061-4ea7-97b0-75a4c8fa1273)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 7b399fa3-f427-48da-b3ca-19c6a97d9e74)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 5892636d-39f7-4b70-bef6-95eb6767108e)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 60da0ce1-172e-403f-90a2-2a965b14fcb8)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 8d37518f-da56-434f-b1d9-f056c387657a)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp ffdbc37e-eade-4282-8260-a4f34baeaeab)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 7ac94199-2b35-45c3-b364-c50db05736eb)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 9d9938e6-628d-4223-9330-91abd56addfa)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp e68c0d8a-4a6f-4ec8-8e65-54af7fa95c65)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp f3c6fbc7-6b89-4e10-9413-35c1dc616074)) + (pad "1" smd roundrect (at -0.775 0 270) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "+5V") (pintype "passive") (tstamp 4fbbcdd1-1852-4930-8720-0eba4fc739be)) + (pad "2" smd roundrect (at 0.775 0 270) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 32f3bb10-8702-4884-ba0c-e6c2d2c7d222)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 0fab7ab9-b683-46be-aa4f-e941c27cd8b8) + (at 116.31 89.7) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "LCSC" "C105428") + (property "Manufacturer" "Yageo") + (property "Manufacturer_No" "RC0603FR-074K7L") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/76b8cba5-5723-41c5-9612-4acdc9ba8626") + (attr smd) + (fp_text reference "R1" (at 0 -1.23) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp dea899f2-8a15-42cd-ba5e-4e4c9f31767e) + ) + (fp_text value "1k" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f44ca8e6-f1fb-494b-9de0-e5a525fd4fe1) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp c43aa203-2f6e-4c18-8034-8f1f0d19ac60) + ) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp 9dcb9c50-06df-437f-8c4c-dd49a5457a59)) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp fcac56bc-8815-4849-9580-6431681b0cf5)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 31760005-ddeb-48a6-8826-1cbb97e31926)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 6de49f38-1271-4756-931c-019556f25583)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 86e92dec-fc00-4271-a287-e34a77cd9141)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp ceb510ef-726b-43be-999d-565ada8fd09e)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 347713f4-5c77-45ff-aeee-b546e048dc2e)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 629c5d97-f20f-4a5f-a948-3d2357bc3bc0)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 8f132328-a9eb-4971-bcbd-eb6f3e82e790)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp f0a78633-40e3-48dc-a667-a550be610cf4)) + (pad "1" smd roundrect (at -0.825 0) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "Net-(C6-Pad1)") (pintype "passive") (tstamp a696e226-e6ed-42d2-b5b2-efcacb3bf4fd)) + (pad "2" smd roundrect (at 0.825 0) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 52 "Net-(R1-Pad2)") (pintype "passive") (tstamp 73627f34-bcf8-44bd-ab8f-bb960da262cf)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 0fc1ae12-677c-43ec-91c9-388299527f30) + (at 117.5 74.25 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "LCSC" "C14663") + (property "Manufacturer" "Yageo") + (property "Manufacturer_No" "CC0603JPX7R9BB104") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/398b06c5-f050-4b73-90d1-a7e375deae52") + (attr smd) + (fp_text reference "C22" (at -2.18 0.55 180) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 5c99ef61-00a7-4f7a-af37-3024a847d542) + ) + (fp_text value "0.1uF" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 9b65c894-985d-40c3-a399-fc89a38f02eb) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 215cde7c-50c8-43fa-99b4-8b65788a66ce) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp a6de2294-bdae-4da5-8a78-730fe8746e87)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp c6258c55-89f4-4a10-9560-265fdc542534)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 072644c0-2093-40b6-ab5f-7feead0b2e31)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 4f33083c-3bd2-439a-b373-f5b1022024db)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 7749c46c-5d0b-4b9c-a58d-71009d353153)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp ec2013e9-8e00-452f-982b-ca36d8f491fa)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 03243559-fb63-4cfa-85b3-7a0c5d12afdd)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 30e8d460-71ba-41e6-8870-5f98f0946084)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp b931d4b0-422a-4958-86e5-e10f77e3f8b8)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp cbbc42cc-f549-4e20-a4c1-c944ea3364b1)) + (pad "1" smd roundrect (at -0.775 0 90) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "+5V") (pintype "passive") (tstamp a97e55a9-2e72-41af-9e44-8b72c8d9158f)) + (pad "2" smd roundrect (at 0.775 0 90) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 4fc89b8b-2f45-4269-a62d-4b7f1ea28cb5)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 1b51c19e-9715-45ec-904c-772da66e59f6) + (at 123.75 79.75 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "LCSC" "C14663") + (property "Manufacturer" "Yageo") + (property "Manufacturer_No" "CC0603JPX7R9BB104") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/ec02b7d0-6811-44bc-9ed4-17133830a809") + (attr smd) + (fp_text reference "C10" (at 0 -1.23 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp abf78620-01d9-4362-ab68-f2adf8630bba) + ) + (fp_text value "0.1uF" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 59a7ccdb-a690-428a-b863-c438b945a7c9) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 4ee517ab-0264-4633-93ad-7d311c212e25) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 4cebef32-b46b-4829-a8dc-b0582ebcb521)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp b8e74078-3d87-42f8-a885-c04c51b894aa)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 2000aa4a-c0be-4e6d-bd08-683d6d8bc95c)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 40acda2d-df5f-4c79-a642-7676441d3166)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 6255ee79-3947-430e-a2fd-5b6bc1849604)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 90013fbb-302f-48ab-88d9-e2a1b63b1062)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 9bb49c06-17ed-4142-b480-7b6f97134208)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp ca21e204-710e-450e-b5bc-2cbcc554e17d)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp dff1caa3-9097-4af5-8b80-4b69c4580548)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp edaa0860-1111-4ebd-a1f9-9d9116f0cbc4)) + (pad "1" smd roundrect (at -0.775 0 90) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 10 "+3V3") (pintype "passive") (tstamp 75dc5046-adeb-4aec-a78d-05e3d177e5f9)) + (pad "2" smd roundrect (at 0.775 0 90) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 79dc374f-1d27-4c44-a8e0-ee4bacb9d8cf)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 1d6cad3e-6706-4cd9-91de-10890c7c3617) + (at 111 85.25 -90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "LCSC" "C14663") + (property "Manufacturer" "Yageo") + (property "Manufacturer_No" "CC0603JPX7R9BB104") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/9dcddebc-9f66-4734-bdef-4fe8d95462ae") + (attr smd) + (fp_text reference "C17" (at 0 1.27 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 1bd35b5c-0482-4bb9-a483-cc6441e99589) + ) + (fp_text value "0.1uF" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp aecd58d8-d665-4100-89fc-827c75890359) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 6d0210e6-7257-4b33-8b72-636f2b6a2319) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 09f5d986-2747-4305-b1ef-b5bed947a7ca)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp c34440bf-213a-480c-84ef-621711c3cd65)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 36a337e8-5bec-4d75-bde1-ead60de58c67)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 9506b9cd-cb60-419a-8f68-6e8c504f7d7f)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp a7654fd0-cc73-4560-ab9d-c1b21297296c)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp c175694d-8de7-4b7d-8706-f80580ff5191)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 7d9e3a3f-076b-4b7e-a2ac-f90683319af2)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 82313243-d37a-41d9-a373-80e33897cb79)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp eaea717b-1a02-4063-bf56-0d1d78ea4d1c)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp f868bf64-e113-48f1-8d80-32c9060e1871)) + (pad "1" smd roundrect (at -0.775 0 270) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 10 "+3V3") (pintype "passive") (tstamp f6b0ed4a-5520-4dfb-a096-cc8be4d42bc5)) + (pad "2" smd roundrect (at 0.775 0 270) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp b1206380-bcd0-4019-9603-1765b66c585a)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 1eda0904-5488-47c8-b4d2-192578658565) + (at 128.7 54.4 -90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "LCSC" "C90057") + (property "Manufacturer" "Murata") + (property "Manufacturer_No" "GRM188R61E475KE11D") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/c9b95b47-bc41-47d7-b08b-deb5ba75c7d1") + (attr smd) + (fp_text reference "C24" (at 2.8 -0.03 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f251ded0-4aa5-45fd-ac1c-50d9e6ac0a3b) + ) + (fp_text value "4.7uF" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 54676e3d-2979-4d35-95ba-c3b6e7004c2d) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 24214744-ed06-4bd1-8890-2f183d097a80) + ) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp c9cc61f6-b5ec-4c78-bc9f-8c6f6f3de08c)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp fb91e103-92d6-45b8-a11f-2613a26b89f2)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 442ffb91-a61c-49a7-bb81-d729f23a6160)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 6fff5c3e-d0c8-4a44-9243-511fb2e10801)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp a8ebdd15-3ccb-4b75-8110-8df24eea94da)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp e50895f2-728a-4d53-a428-6b8fc17395b0)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 0a183f84-e75e-454e-a38d-365b545dadc1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 0babb0ac-e844-4a7b-aca7-978701bb6d75)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 47cc88d4-b5c8-4eef-ab9d-82928c7f6b97)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp f534b524-458a-47f6-b27b-ef90a6db5740)) + (pad "1" smd roundrect (at -0.775 0 270) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 10 "+3V3") (pintype "passive") (tstamp fea64663-795a-4042-86a9-4b57103ec0ba)) + (pad "2" smd roundrect (at 0.775 0 270) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp d6d40965-c9a7-43b1-b5fe-3af132766712)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "TestPoint:TestPoint_Pad_D1.0mm" (layer "F.Cu") + (tedit 5A0F774F) (tstamp 2f6d3a1d-0167-4021-a3f0-76ef68163cde) + (at 118.59 99.31) + (descr "SMD pad as test Point, diameter 1.0mm") + (tags "test point SMD pad") + (property "LCSC" "DNP") + (property "Manufacturer_No" "DNP") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/b714a1d6-d163-4d71-a004-bf62174fc9b2") + (attr exclude_from_pos_files) + (fp_text reference "TP2" (at 0 1.652) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp d356d741-8ff3-4e64-8dbd-f7bfba90121d) + ) + (fp_text value "TestPoint" (at 0 1.55) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 3df46147-5587-4026-91c4-408852e3c98a) + ) + (fp_text user "${REFERENCE}" (at 0 -1.45) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 68b27325-43c3-46f5-87ea-aaff2a0b4c96) + ) + (fp_circle (center 0 0) (end 0 0.7) (layer "F.SilkS") (width 0.12) (fill none) (tstamp 9130cad4-1ecc-4e84-95c5-63fe2dc6ac55)) + (fp_circle (center 0 0) (end 1 0) (layer "F.CrtYd") (width 0.05) (fill none) (tstamp 917526bb-8982-4f9f-b38e-786e94d82384)) + (pad "1" smd circle (at 0 0) (size 1 1) (layers "F.Cu" "F.Mask") + (net 16 "SWC") (pinfunction "1") (pintype "passive") (tstamp 2514c144-ec0c-47a0-982a-90262656df75)) + ) + + (footprint "Crystal:Crystal_SMD_3225-4Pin_3.2x2.5mm" (layer "F.Cu") + (tedit 5A0FD1B2) (tstamp 346016ff-69c1-4dc6-82d7-3d3af21d38ac) + (at 116.32 94.17 90) + (descr "SMD Crystal SERIES SMD3225/4 http://www.txccrystal.com/images/pdf/7m-accuracy.pdf, 3.2x2.5mm^2 package") + (tags "SMD SMT crystal") + (property "LCSC" "C91749") + (property "Manufacturer" "Seiko Epson") + (property "Manufacturer_No" "Q22FA23V0041800") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/1482851c-2e17-4260-a1eb-f2555c4a7bdd") + (attr smd) + (fp_text reference "Y1" (at -2.81 2.4 180) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 1bce5a22-e87d-4a53-8c79-259dfa51c8ba) + ) + (fp_text value "12MHz 18pF" (at 0 2.45 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 7ac20ddf-0466-4dec-9c65-7bcdf4abbd5c) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.7 0.7) (thickness 0.105))) + (tstamp 968b3fc7-bf33-4da3-a7f9-63c570750521) + ) + (fp_line (start -2 -1.65) (end -2 1.65) (layer "F.SilkS") (width 0.12) (tstamp 5fff46f3-1d5a-4333-9850-a621ba95b156)) + (fp_line (start -2 1.65) (end 2 1.65) (layer "F.SilkS") (width 0.12) (tstamp f28b532b-2ce4-4177-9c2f-1d2f577cb639)) + (fp_line (start -2.1 1.7) (end 2.1 1.7) (layer "F.CrtYd") (width 0.05) (tstamp 00d2dd5d-d8bc-4d90-8663-ef150a9273e9)) + (fp_line (start 2.1 -1.7) (end -2.1 -1.7) (layer "F.CrtYd") (width 0.05) (tstamp 0f985543-c373-4787-beb0-0981a918f44d)) + (fp_line (start -2.1 -1.7) (end -2.1 1.7) (layer "F.CrtYd") (width 0.05) (tstamp e3af42ab-ac9f-47ae-ac0c-32f502f8c1f7)) + (fp_line (start 2.1 1.7) (end 2.1 -1.7) (layer "F.CrtYd") (width 0.05) (tstamp ec4f963f-4ac3-44d7-8e74-4b792b3ca515)) + (fp_line (start -1.6 0.25) (end -0.6 1.25) (layer "F.Fab") (width 0.1) (tstamp 1c0bf467-4878-40ed-8675-3b506c8b087b)) + (fp_line (start 1.6 -1.25) (end -1.6 -1.25) (layer "F.Fab") (width 0.1) (tstamp 6346ec09-f3c0-4ad4-ab27-f9e79b2b5470)) + (fp_line (start 1.6 1.25) (end 1.6 -1.25) (layer "F.Fab") (width 0.1) (tstamp befa1301-5f22-4cb2-a837-febdd83e5439)) + (fp_line (start -1.6 -1.25) (end -1.6 1.25) (layer "F.Fab") (width 0.1) (tstamp d2a0dea7-bf44-4fce-852b-ffb664af2ab5)) + (fp_line (start -1.6 1.25) (end 1.6 1.25) (layer "F.Fab") (width 0.1) (tstamp fc426f50-df14-43ec-b63a-5d5d1f0334da)) + (pad "1" smd rect (at -1.1 0.85 90) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") + (net 7 "Net-(C1-Pad1)") (pinfunction "1") (pintype "passive") (tstamp 67fd5d30-9bb7-403b-bb70-6d00913cb40a)) + (pad "2" smd rect (at 1.1 0.85 90) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (pinfunction "2") (pintype "passive") (tstamp d7a15a1c-4669-4749-8374-348e0a4d6c37)) + (pad "3" smd rect (at 1.1 -0.85 90) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") + (net 3 "Net-(C6-Pad1)") (pinfunction "3") (pintype "passive") (tstamp 89cc27a3-2562-4c5b-8347-867aca92cfd4)) + (pad "4" smd rect (at -1.1 -0.85 90) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (pinfunction "4") (pintype "passive") (tstamp 946c96a4-c8eb-4ca3-b57a-9cc2bb89f6e7)) + (model "${KICAD6_3DMODEL_DIR}/Crystal.3dshapes/Crystal_SMD_3225-4Pin_3.2x2.5mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 36b7728c-08f9-4b10-b83b-95f864e9eee0) + (at 122.75 58.5 -90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "LCSC" "C14663") + (property "Manufacturer" "Yageo") + (property "Manufacturer_No" "CC0603JPX7R9BB104") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/3d7d1673-7e78-4f69-9793-f7c6d65ade7f") + (attr smd) + (fp_text reference "C2" (at 2.02 -0.05 180) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 078a2456-bb9a-4187-962c-672c370c040e) + ) + (fp_text value "0.1uF" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp abfc2f91-5852-47f5-b104-57d33524869e) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp f49e1135-244e-466f-af8b-807f19359546) + ) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 45e28434-5f3a-4b32-983d-bc73431c805b)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp fa58ef7f-2fb4-4b81-864d-8e8e1d6d2abb)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 0ac1e2b5-6602-47db-b4e1-403515274f67)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 1a9bf7fa-58b7-4e95-8ee5-45549e6b2e43)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 3be3f8d6-bcdd-49a8-aa2a-fbae11ea1cf3)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp de574148-4d69-4db2-91f3-c13255f5a434)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 303b6249-e916-4203-9849-c0ad4987825e)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 33bf6929-764c-4b1b-a967-7591c68a4ce0)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp a2bcf62b-fc33-4a02-9789-b99864430de8)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp db318792-148e-4e11-864d-381eb7e676e4)) + (pad "1" smd roundrect (at -0.775 0 270) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "+5V") (pintype "passive") (tstamp 5b1316cc-2ed2-4a2a-ac70-25a7f98f05bf)) + (pad "2" smd roundrect (at 0.775 0 270) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 1cf58f73-f778-44dd-8c01-ab23ee3d47cb)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 3e9043c2-4f2d-459d-af9c-00c1ec957915) + (at 116.43 103.91 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "LCSC" "C105428") + (property "Manufacturer" "Yageo") + (property "Manufacturer_No" "RC0603FR-074K7L") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/deeb1caa-0c80-4e42-836b-43556b60296f") + (attr smd) + (fp_text reference "R4" (at -2.09 -0.02 180) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 34e3eacd-b188-4479-b0c1-264714e81e52) + ) + (fp_text value "4.7k" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp b6e24724-e976-46db-9f38-edf865eabe01) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 12211743-5b13-4d78-8665-bcd83d4d9f3f) + ) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp 3d5f785e-f652-4582-b1a9-1dc5d4cc0eb1)) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 73c86a7e-7ec0-437e-aae9-cb105f8162e1)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 12a7c6a5-364f-4705-8b5d-eda8db5ec64c)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp a50a8aa1-d6d5-477c-b4b8-77f09a1cb8bf)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp ad569d55-952d-4836-949c-499a0dd5d4e6)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp ca0f883b-79f9-47f8-a192-879275428888)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 4f69324c-1dac-4be9-9f41-b5f5343a07ec)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp c5ec5b63-0c63-424b-8e2b-3f7371518be0)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp d91e664e-1e8d-4a61-bc28-45976e5c55a7)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp e7b456c4-a1c6-433e-b9be-5db915105f86)) + (pad "1" smd roundrect (at -0.825 0 90) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 9 "PROG") (pintype "passive") (tstamp fb39c8e4-c014-48ac-85e1-edb8c05c6339)) + (pad "2" smd roundrect (at 0.825 0 90) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 14 "QSPI_CS") (pintype "passive") (tstamp 32653cd6-b4ee-4276-9e4a-b06ece655c32)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-353_SC-70-5" (layer "F.Cu") + (tedit 5A02FF57) (tstamp 4198d049-6899-4602-bf1e-92c6468141ce) + (at 125.92 58.48 180) + (descr "SOT-353, SC-70-5") + (tags "SOT-353 SC-70-5") + (property "LCSC" "C78541") + (property "Manufacturer" "Texas Instruments") + (property "Manufacturer_No" "SN74LV1T34DCKR") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/f9ae426a-9112-47cd-a15d-a62a80ba27b5") + (attr smd) + (fp_text reference "U1" (at 0 1.9) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 9235c193-0dbb-4496-aed8-a57ac71fcd2c) + ) + (fp_text value "SN74LV1T34DCK" (at 0 2) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp c51f0465-5b48-4af7-aac9-755fc3d45e4f) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.075))) + (tstamp ea48bc0a-45b5-4581-a77a-6d6e0bba2d4c) + ) + (fp_line (start -0.7 1.16) (end 0.7 1.16) (layer "F.SilkS") (width 0.12) (tstamp 15bb0d85-188a-4850-a66a-c50b1e313937)) + (fp_line (start 0.7 -1.16) (end -1.2 -1.16) (layer "F.SilkS") (width 0.12) (tstamp 2e532cb3-01a2-44ac-83f6-9f8e3470b3e9)) + (fp_line (start -1.6 -1.4) (end -1.6 1.4) (layer "F.CrtYd") (width 0.05) (tstamp 5f1db3ce-1c07-4c6f-b0f4-9f7411508371)) + (fp_line (start -1.6 -1.4) (end 1.6 -1.4) (layer "F.CrtYd") (width 0.05) (tstamp 6e2f8c29-48dc-4dd6-a385-46eacbf26fd1)) + (fp_line (start -1.6 1.4) (end 1.6 1.4) (layer "F.CrtYd") (width 0.05) (tstamp 726745d8-4fe2-437d-92fd-ac1bc203bdb6)) + (fp_line (start 1.6 1.4) (end 1.6 -1.4) (layer "F.CrtYd") (width 0.05) (tstamp f1578584-2438-455d-88ce-a93f21710d48)) + (fp_line (start 0.675 -1.1) (end -0.175 -1.1) (layer "F.Fab") (width 0.1) (tstamp 0b82660d-60c6-4b1a-8c8f-28203a46e78f)) + (fp_line (start -0.175 -1.1) (end -0.675 -0.6) (layer "F.Fab") (width 0.1) (tstamp 18d6f74b-4198-4834-a5b4-b653b6b04f98)) + (fp_line (start -0.675 -0.6) (end -0.675 1.1) (layer "F.Fab") (width 0.1) (tstamp 85311f6d-5861-420e-ad4f-f605679b3bd7)) + (fp_line (start 0.675 -1.1) (end 0.675 1.1) (layer "F.Fab") (width 0.1) (tstamp a9865c60-c2a2-4cb8-904a-c519d8bb6e33)) + (fp_line (start 0.675 1.1) (end -0.675 1.1) (layer "F.Fab") (width 0.1) (tstamp bb499742-fc55-49a9-84b9-310b6684bdd7)) + (pad "1" smd rect (at -0.95 -0.65 180) (size 0.65 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 18 "unconnected-(U1-Pad1)") (pinfunction "NC") (pintype "no_connect") (tstamp 8478212c-b434-44e6-b761-f2e8b40230f7)) + (pad "2" smd rect (at -0.95 0 180) (size 0.65 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 46 "LED_DATA") (pinfunction "A") (pintype "input") (tstamp fae53a2d-9d92-4f47-8e47-309689ac0573)) + (pad "3" smd rect (at -0.95 0.65 180) (size 0.65 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp a7bb24e4-edc1-4944-a78b-22ebbba33ebe)) + (pad "4" smd rect (at 0.95 0.65 180) (size 0.65 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 17 "Net-(D2-Pad1)") (pinfunction "Y") (pintype "output") (tstamp 455d80a2-4d77-4848-9f8c-afd629ca4ff5)) + (pad "5" smd rect (at 0.95 -0.65 180) (size 0.65 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 5 "+5V") (pinfunction "VCC") (pintype "power_in") (tstamp 100c977b-22cf-402a-9342-e13a573349e9)) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 4a2ccc6d-9cd2-45fe-9413-2d80a0ac32ad) + (at 127.53 84.73) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "LCSC" "C14663") + (property "Manufacturer" "Yageo") + (property "Manufacturer_No" "CC0603JPX7R9BB104") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/ff62dd34-7d46-4588-bec5-6b9bde883b85") + (attr smd) + (fp_text reference "C14" (at 0 -1.23) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp af16f734-e0d2-434d-9017-220ed744f9db) + ) + (fp_text value "0.1uF" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp b20b7078-0fc3-4b85-b8a4-041e60afa59f) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 5d67f476-4763-47de-965e-6ba535785523) + ) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 1c05026e-74b2-4e69-8d8e-dd5c6944c71d)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 46b90652-7663-4daf-9c8f-51a3349cb4bc)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 0f941d14-0ca9-42b0-9c3c-18a39d527db2)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 3986720f-e9bd-40bb-b61f-f7148716536c)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 6f5efa65-f0cf-49ec-a861-5ea0c92be825)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp d0fe5cd9-cd3d-4561-b825-f0135c994c8a)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 1d2b3d1d-656f-4646-b421-c7b942ed9a28)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 24ba7ba8-6a95-434f-8d8f-ae6d05bdb7c1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 5a61d317-5bc3-47f0-977f-1408431256ca)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp c9aa0ee9-eb0a-44ea-8527-e56d0210ad48)) + (pad "1" smd roundrect (at -0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "+5V") (pintype "passive") (tstamp 04fc3ba9-938b-4966-bec0-4dc84cb18321)) + (pad "2" smd roundrect (at 0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 20d1f8da-c085-433f-adaa-244904022f65)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 5081bc7c-e9b6-4120-a7e0-77e616a47bde) + (at 114.03 75.28 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "LCSC" "C14663") + (property "Manufacturer" "Yageo") + (property "Manufacturer_No" "CC0603JPX7R9BB104") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/46c94123-03f2-41ba-afd0-267e139ce522") + (attr smd) + (fp_text reference "C9" (at 0 -1.23 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 2ede7af3-8623-43a9-8797-7bc67499e557) + ) + (fp_text value "0.1uF" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 0633405f-2676-43e5-ad04-50a5aeadcf5e) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 1c559460-ad55-48bf-89b1-3cb7657e166b) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 0062b437-5060-4601-9311-eafde55faeba)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 32a930ec-996b-4fa7-839c-2b7349b864bb)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 3f7c6c48-747a-44b2-bfa9-583dac0a3d11)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 87a35f25-ca38-4715-be42-d79af28f981c)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 8eaf32d5-7c7a-4b6e-b8bc-f156ef3fa394)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp b96d60bf-b54e-462a-9a1f-2aa87e7cb2a8)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 1b657556-9c84-4692-9d94-e62011ef8ecb)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 1f30527b-952a-4d9c-9701-fb16df100352)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 7511819b-3351-460f-b92b-7d50a07fc9af)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp fd104c3a-5428-4828-8a6d-76f07c9505fc)) + (pad "1" smd roundrect (at -0.775 0 90) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "+1V1") (pintype "passive") (tstamp 6cb2d57c-0643-4448-8ceb-eb4fd982051d)) + (pad "2" smd roundrect (at 0.775 0 90) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp adf51b14-5e54-4995-90ba-2ef6924918dd)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 5f5f7a54-ec6f-4e0c-8de5-4177ff77f970) + (at 139 104 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "LCSC" "C99198") + (property "Manufacturer" "Yageo") + (property "Manufacturer_No" "RC0603JR-0710KL") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/692786e8-5be7-4910-b578-952a763ff38c") + (attr smd) + (fp_text reference "R3" (at 2.5 -0.03 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp b85dbf8a-8201-4751-ae1b-f69fbc8475b9) + ) + (fp_text value "10k" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp eebcb96d-2eb9-4981-bc80-14515171730b) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp a1c8422d-b54b-463b-a0c9-0bc9d3c9a87a) + ) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 12916d4d-8ce5-4b38-acb4-570c3b71287a)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp cd99edb0-b400-4393-bfa1-2f03f1118ad8)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 01a7be55-9359-4195-aa1d-51076a2b1d11)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 2a737704-b2d3-4d8f-b597-4701f8ea6714)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 74e9815f-6e7b-42ea-b6d3-0281a1d3a068)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 98d2958d-4888-419f-a6ee-619e7ddba6c8)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 1adbaa19-dbe8-4ff3-90b9-cd8ff6a1662f)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 279d8c17-eab0-423b-90e1-9277f24de1be)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp b0cf0bab-c6ba-4049-b0cd-6e612a6a7115)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp fcc2dc27-e5ae-490d-bfad-ff4ae812a6af)) + (pad "1" smd roundrect (at -0.825 0 90) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 10 "+3V3") (pintype "passive") (tstamp 99845b0f-5d78-46b7-b5f9-d6ae099d4382)) + (pad "2" smd roundrect (at 0.825 0 90) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 13 "RESET") (pintype "passive") (tstamp 48e8be0a-61b0-4777-9ba0-7850227339c1)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 611047f6-bcbd-42c8-8065-ea8cc9e968d6) + (at 120.43 91.35 -90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "LCSC" "C14663") + (property "Manufacturer" "Yageo") + (property "Manufacturer_No" "CC0603JPX7R9BB104") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/62508c41-b74c-4acc-a452-325a48e3b56f") + (attr smd) + (fp_text reference "C12" (at 2.9 -0.03 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp e2904223-8c19-4af7-8a0d-a8aab0f2206a) + ) + (fp_text value "0.1uF" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 571de973-adb5-47fd-a5ef-4a9331c6c9d7) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 1e5e2a0b-c4ad-4f69-bc14-5278bef43d4c) + ) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 4758aa10-c91d-45ee-819a-75086446dea5)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp bd8542f0-ec04-431d-b935-5438954d127a)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 36921378-113b-4b85-affa-c3d958425a58)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 6b3ed780-73fb-42d3-9ff5-c83b5f742494)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp d0210b65-04f8-449a-8277-09874ff405a7)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp fa29fb92-1ddf-48ad-8271-b5d418f6691b)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 7d94a55c-a833-45ac-b709-cfdbba67da01)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp a447afeb-23d7-464a-a2ce-49edbe350742)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp b136630e-e4fd-4c3a-a1a3-3f6d04c2c4e9)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp f02d3171-6ad7-43be-a082-b702198e768f)) + (pad "1" smd roundrect (at -0.775 0 270) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "+1V1") (pintype "passive") (tstamp 118bb621-b63a-455d-b693-59dba334dfc9)) + (pad "2" smd roundrect (at 0.775 0 270) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 82fc205b-44f2-41fe-ad91-19da655dd8f2)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "TestPoint:TestPoint_Pad_D1.0mm" (layer "F.Cu") + (tedit 5A0F774F) (tstamp 7c1d8cdd-e57a-48b2-910b-0e8dca8b948c) + (at 121.48 99.34) + (descr "SMD pad as test Point, diameter 1.0mm") + (tags "test point SMD pad") + (property "LCSC" "DNP") + (property "Manufacturer_No" "DNP") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/4e439924-41c8-4ce8-a6e4-804b81fab8c8") + (attr exclude_from_pos_files) + (fp_text reference "TP1" (at 2.3 0.052) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp c872d94b-74cc-4744-ae97-5dbaaaf1b6a7) + ) + (fp_text value "TestPoint" (at 0 1.55) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 6f9385aa-52ce-4cb2-927a-57182eb6eb5b) + ) + (fp_text user "${REFERENCE}" (at 0 -1.45) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp cd9d3d9b-3031-461a-a35d-5deb6e13c439) + ) + (fp_circle (center 0 0) (end 0 0.7) (layer "F.SilkS") (width 0.12) (fill none) (tstamp 1ba7b981-a901-4669-b665-10ea372e2175)) + (fp_circle (center 0 0) (end 1 0) (layer "F.CrtYd") (width 0.05) (fill none) (tstamp 6b13da7e-9967-460b-b754-20f1e8a6432f)) + (pad "1" smd circle (at 0 0) (size 1 1) (layers "F.Cu" "F.Mask") + (net 15 "SWD") (pinfunction "1") (pintype "passive") (tstamp 9639a321-a103-4df6-8840-6bc9c661e733)) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 92d7ab37-1211-4599-b34d-e3faec3c137e) + (at 108.76 88.81 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "LCSC" "C14663") + (property "Manufacturer" "Yageo") + (property "Manufacturer_No" "CC0603JPX7R9BB104") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/dfde2c1f-f7e6-4285-b15a-032861861e78") + (attr smd) + (fp_text reference "C23" (at 0 1.37 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp deb77edb-4edc-4b32-8da5-c012e2e9751e) + ) + (fp_text value "0.1uF" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp b115cc13-7600-4870-8f51-3de8ebd116ef) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 00e0dabf-2891-4396-829e-9f1e7adca6bd) + ) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 1887a6da-5043-4c41-97a1-0a4c92420b7e)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 2e47d76d-e672-42ff-9dee-32751b43415b)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 3f873792-45b8-47c9-8d6b-040800206985)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp c2e26a73-7b28-40b5-8bc3-08b54c9dab32)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp cf62f86a-b8f7-48ce-933c-a61bea59b26b)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp db03f13f-a5f2-4796-9a6a-a0b3a4fbfd4a)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 943d8265-c4e4-4ce3-b77e-cd826fa9d40b)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp ac0924fa-da5b-4c49-a022-7316221cdb7c)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp add112c4-ee3a-4ce4-bca6-9fa784aaca2e)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp d4f30577-fed3-433a-a141-6c1b8d11ba20)) + (pad "1" smd roundrect (at -0.775 0 90) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 10 "+3V3") (pintype "passive") (tstamp bad49e0a-a110-4e1d-b026-26e8d16588d5)) + (pad "2" smd roundrect (at 0.775 0 90) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp ce25760b-7e05-4bfb-8cc2-aba734d7392c)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp a16208a9-1a00-4e65-874a-d0f932b96a76) + (at 118.9 91.65 -90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "LCSC" "C14663") + (property "Manufacturer" "Yageo") + (property "Manufacturer_No" "CC0603JPX7R9BB104") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/2656f361-52f0-41d3-8feb-a4ed2419d3ef") + (attr smd) + (fp_text reference "C15" (at 2.9 -0.03 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 1e50f4bc-24a9-4da1-bfb5-9ae390963a14) + ) + (fp_text value "0.1uF" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 263368cb-ab80-48fd-b7c7-07029e021134) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 9e001289-b936-4c76-ad10-7aa978561df4) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp d1925e5c-8005-48e1-a460-7d2e97cff458)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp fad7dc67-05ed-4bec-8b90-20ba95187395)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 1ddab5eb-e355-469b-8382-fc57ea75c27a)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 92ad17d0-1bbe-434a-af22-0a320c286e44)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp dd20d4c8-e769-451f-9412-63bd1f9a28d6)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp ee163c32-bc42-47b4-9428-9d74779c3f68)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 194fbdbf-0a77-47c9-8314-02d71a094edb)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 29f6eb5b-33fb-4535-9f3e-b0971b79d94c)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp a2fe9a80-9184-43a9-af5d-211fc9c2facc)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp ef4bdf84-f934-4e33-9fd2-21043c016698)) + (pad "1" smd roundrect (at -0.775 0 270) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 10 "+3V3") (pintype "passive") (tstamp 29c517f6-bcc5-4a68-ad53-dcb33de48db9)) + (pad "2" smd roundrect (at 0.775 0 270) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp f592e097-2720-4c13-be2f-94373f3c272e)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp acb3c3fd-e39a-4190-8273-315d5982cc71) + (at 116.3 97.15 180) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "LCSC" "C107045") + (property "Manufacturer" "Yageo") + (property "Manufacturer_No" "CC0603JRNPO9BN270") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/5ebe8737-eb04-4191-83d4-3fa788095f44") + (attr smd) + (fp_text reference "C1" (at 0 -1.33) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp cd19735b-8c78-4d23-8e9f-642cf65f3073) + ) + (fp_text value "27pF" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f9500ef7-4af5-4bd0-85f4-31de0cfec848) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 687f6a49-7501-4afa-aaa2-f3a748070215) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 3f0406b0-21d3-491c-8aed-5d071fdc878e)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 4222a6f1-b795-43bf-8f61-44adcbdee721)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp b7f7ae9b-c33b-4c54-82ee-17b4a95ee0fb)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp c07240cd-6613-4194-b684-c2f377fb75ba)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp d98fb9e1-57c6-4600-80c5-61182ca33778)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp fcc4df83-072d-4e7e-b7ad-7e0fb550d293)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 19e7dae7-3749-4f1c-8af0-ea9cdacb6654)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 435ffa16-f108-4201-99dc-9e46887a9204)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 7d77b788-5572-448e-a081-41a7eace1483)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp b3be9dce-a1bc-48af-806d-89a89069e9bc)) + (pad "1" smd roundrect (at -0.775 0 180) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 7 "Net-(C1-Pad1)") (pintype "passive") (tstamp 5c5ab364-2752-40f5-abad-60bd2ea79a1b)) + (pad "2" smd roundrect (at 0.775 0 180) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp a7a48b75-2cea-48ec-98d7-eabe9f75ed63)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp bc225be8-3c12-4b30-af33-73266f44a49a) + (at 122.95 73) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "LCSC" "C14664") + (property "Manufacturer" "Yageo") + (property "Manufacturer_No" "CC0603KRX5R8BB105") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/89e22c71-ad8a-407f-a241-4c472e4fec3e") + (attr smd) + (fp_text reference "C20" (at 0 -1.33) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f6e49447-bd48-4031-b687-d13a77e262e5) + ) + (fp_text value "1uF" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp d387bb9f-99c6-4f43-b34d-b480729b0200) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 30a8ed33-1621-48e2-9033-dcde3272429a) + ) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 5a0cc75a-1d3a-44a8-806b-08fbaaf7affe)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 66bb83cc-2e8a-4e15-a7db-f563f2d5140e)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 3f7aaf00-d6c2-48a9-a052-3aa32b171bdd)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 508f55b7-5305-4769-97eb-678ce4d409fc)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp dcca8bec-9777-45ba-8a1a-2ba5f591e17e)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp ed4480af-a38b-4c1d-8143-d6a6416d7d9e)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 2e0af32a-c6af-45e6-be4e-57866055c44d)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 8862e843-5d54-4c4d-8e03-2e0317b241ae)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 930ddfef-9d0f-4a66-9fdf-6e6bee77249d)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp b9cd87e9-c4dd-4cf4-8f24-0a893ec51bb9)) + (pad "1" smd roundrect (at -0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 10 "+3V3") (pintype "passive") (tstamp 211cca06-7a4a-46b9-a053-e20459588032)) + (pad "2" smd roundrect (at 0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 132c9e76-ceb2-4b59-833c-442c4b55be36)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp d000b929-d3ee-4350-a0f9-0a3af5a51913) + (at 111 79.9 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "LCSC" "C14663") + (property "Manufacturer" "Yageo") + (property "Manufacturer_No" "CC0603JPX7R9BB104") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/991ae2a8-b85b-46be-8c7d-6268568e7a71") + (attr smd) + (fp_text reference "C19" (at 0 -1.33 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 271a60d0-62c3-45d0-b018-5c38333aee86) + ) + (fp_text value "0.1uF" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 94fc1558-7e79-43e1-bbd5-95ae08d32553) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 9ad28dac-3139-481d-ae21-a1c1a82e1a51) + ) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 18ce9400-c18f-4992-bac9-de404f9bb10c)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 22ac4839-211e-4e33-a844-b24f2a188e8d)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 651fce1d-ed1e-42c3-95b4-9bec5c5780c8)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp a3029af8-84ad-487e-9186-dd34a70e2260)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp a9465717-232f-49a1-b217-b6a49fb433b1)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp e5dfe920-f863-4460-98ed-60dba3c27775)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 4bb90546-8fa7-4e3b-a5ef-70ab5ac5ac64)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 508189b3-170c-4448-bf31-a90edc4f8d5a)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 8fcf9680-d7d8-4258-b8de-c57bbd75bd1d)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp bc601de3-f5e9-4329-9a07-a6231991583e)) + (pad "1" smd roundrect (at -0.775 0 90) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 10 "+3V3") (pintype "passive") (tstamp b7b01cd3-11d4-4f22-bc6e-01bcf2326385)) + (pad "2" smd roundrect (at 0.775 0 90) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 90771aef-8a70-4a1b-b80b-ffd28c0c6bcf)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp d60cf7b3-7840-483d-985e-bd3ac4176983) + (at 120.54 74.3 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "LCSC" "C14663") + (property "Manufacturer" "Yageo") + (property "Manufacturer_No" "CC0603JPX7R9BB104") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/5f97827f-c36d-47fb-b3b4-3d9bc5c240ba") + (attr smd) + (fp_text reference "C4" (at -2.4 0.07 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp a59037a1-d3b8-4b9e-9639-fb6bafd362de) + ) + (fp_text value "0.1uF" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 9bf56199-8eaa-4369-bd03-885b34b80c53) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp ad6d93a8-2d63-4d99-8360-215d07b5c971) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 2eff5999-8601-4fbb-affe-098e8d6ffea5)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp bdb65a87-8444-4b5b-aab6-1a2291ecc147)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 482be0e5-8ae1-487f-93c0-4feea81f0498)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 6cf882d5-a1c6-4445-8bb9-7138469ced6f)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 82d13928-4cec-4042-a127-583ddce3a111)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp e7b736ae-d5de-4dc6-9851-14478b0fbdc8)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 1cd90700-614e-44cd-a63c-ab98b3d4c3b7)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 586dd323-6665-4068-a794-fb89fa7598aa)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp a3d7bb33-42fa-4e0e-89e5-1ec08fe5e20e)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp e85d080d-601d-4222-b056-35b39345d0b0)) + (pad "1" smd roundrect (at -0.775 0 90) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "+1V1") (pintype "passive") (tstamp e11f6527-8ff7-4174-a917-97557397a5fc)) + (pad "2" smd roundrect (at 0.775 0 90) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp a249434a-6094-4023-8538-f22cbc53cec6)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp e076eb9a-de01-4f2f-a527-a21fc7c789dc) + (at 115.75 74.65 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "LCSC" "C14663") + (property "Manufacturer" "Yageo") + (property "Manufacturer_No" "CC0603JPX7R9BB104") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/48498ce7-eca9-44e0-bc6d-7ca5752498ba") + (attr smd) + (fp_text reference "C3" (at 2.4 0.07 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 17c9fab9-a267-4236-b874-15056f327a64) + ) + (fp_text value "0.1uF" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 5a760b87-24b3-486d-b6e5-e10929da801b) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp e60e6364-ba64-4da3-8faa-c090765e6ad2) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 8994175c-1c80-4cbe-bc61-f935ec4d9deb)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 93e355cf-9f5e-42c1-b4ab-9ad3a995e006)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 751fe6a0-38fc-406a-bc07-2f233c4a0fab)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp a1a93dc7-7266-423a-b8cb-010dc39f5128)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp bb2889f4-e699-4721-bc77-f5ce11993580)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp d9b16b0f-fc02-42ec-872c-1c4708e07c88)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 0c27a6ad-54c3-4579-95e8-42384f975c2d)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 43098d4d-1ea2-4c59-8e6a-32385c15c005)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 80f11124-e3eb-496f-bd73-e208ef69acef)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 91572389-4c59-4547-bae4-131f20f44d9d)) + (pad "1" smd roundrect (at -0.775 0 90) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 10 "+3V3") (pintype "passive") (tstamp c0232f6a-18f8-46d0-b725-cca90267d277)) + (pad "2" smd roundrect (at 0.775 0 90) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp c4f04461-663e-4c0a-92b4-a519fbaa63c2)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp ea2ee9a6-e408-4ae9-aa4a-fa31d32caf00) + (at 123.95 84.25) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "LCSC" "C14663") + (property "Manufacturer" "Yageo") + (property "Manufacturer_No" "CC0603JPX7R9BB104") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/3750409c-2678-433c-9ae5-7ecd62833862") + (attr smd) + (fp_text reference "C13" (at 0 -1.23) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 1eea4d78-2e03-4b7f-9ded-55fb8b4687be) + ) + (fp_text value "0.1uF" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 1b25ad83-3ad9-4bdd-b084-0ff51ef91e05) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 9b0ac92e-e0c8-4b7e-b04d-7bd9204ed21c) + ) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 75a5b4ab-173c-47f5-acb5-87e3ba000a56)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp c8b8dd5e-f0a6-4ade-a2fd-d94a85cf801d)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 8ff80f4c-e10f-4be0-8864-fb5ae9f6c9d0)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 9458d348-c1e7-497c-b756-5af8ac8b3cad)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp afcd73aa-dfc2-4b34-9158-2a85560f7e85)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp e5211ff8-ba6c-428c-9d38-7e9751a0200f)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 1366c2a1-11a1-49d9-b945-962fdca8f9ec)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 29ac1228-48da-4502-883d-75bf99318acd)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 550d564a-5bf7-4b05-aabc-578f6da44635)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 74f135e6-1569-4232-90f3-c959ad709587)) + (pad "1" smd roundrect (at -0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 10 "+3V3") (pintype "passive") (tstamp 199528af-a0d1-4806-bd4d-4b508322ce3a)) + (pad "2" smd roundrect (at 0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 700af95c-b7f4-4120-8cd9-94be2ee84253)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp ed64e5ab-0fa2-4274-bb12-cccbee98ae96) + (at 116.3 91.25) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "LCSC" "C107045") + (property "Manufacturer" "Yageo") + (property "Manufacturer_No" "CC0603JRNPO9BN270") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/2103fd92-d81e-4e4d-b56b-1717abd49cd7") + (attr smd) + (fp_text reference "C6" (at -1.98 -0.05 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 6b0b31b2-c06c-464a-8d8b-a1a223270485) + ) + (fp_text value "27pF" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp d303fabb-b248-471c-becd-e3f5304dea53) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp d4f1c928-2a11-4461-949b-8ea0e156f8f0) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 56e64b29-8cfa-4296-9935-9ff2f8c5913a)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 689ebd13-44fb-4c89-8d1b-7803d11708b9)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 1a21f2f3-5e80-4a4c-ba95-ca9ecf825085)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 1f7b7a12-f79d-4332-9c2d-fbb6a0ec7bb0)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 73343d94-7902-456f-bfa7-e3f4ecb74d15)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 9f17d04d-8273-4f46-980b-4dbea51fd17c)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 293f5e84-74e1-496b-9aed-11f0e58d10bf)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 5eac05ae-4d11-457c-bb78-ad157164f850)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 6df2d8f7-3d24-43d8-be18-c6ea575e78ae)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 95d12d9a-325d-47bf-8cd7-7b4d0e390be6)) + (pad "1" smd roundrect (at -0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "Net-(C6-Pad1)") (pintype "passive") (tstamp 399ff8a0-0765-4965-8563-71092c9c4fcd)) + (pad "2" smd roundrect (at 0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 1a4d072c-5d60-4923-87e6-19066ec48923)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp ee45faad-9f57-42ad-9a96-dc2e67bfc846) + (at 122.95 75) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "LCSC" "C14663") + (property "Manufacturer" "Yageo") + (property "Manufacturer_No" "CC0603JPX7R9BB104") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/9a06a591-be17-4555-b011-665f0d68eb82") + (attr smd) + (fp_text reference "C8" (at 2.3 0.07) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 8a4c49a3-f1b3-4141-b3ff-6358ad5f3d01) + ) + (fp_text value "0.1uF" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp de5aef85-f61d-470d-8481-ecf0dd99b85e) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 92083cf0-defa-4153-b323-bda8a37cd397) + ) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 908ef856-8f90-4895-b3a6-1d16a6e81d15)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp b2871d2c-32af-4594-a282-bc656993da4f)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 0a60d150-309b-45ff-86b3-5cad9db5e8c5)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 5d0f2b1c-4312-4c84-ae0a-0561462c6581)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 9ca8d76d-9d3d-4703-9b4d-95f91b0bd8e1)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp f428973b-d42b-47c6-a3f0-ec2d8b6ec9a7)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 009ee7d3-0fa1-49db-a212-934ab498abb4)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 80739426-641d-4369-b7a6-d4264823211c)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp a5dcb24c-cd2b-422e-9fb2-aa781faa2da6)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp e7d0a0ea-9360-490f-8d19-54fe74f67843)) + (pad "1" smd roundrect (at -0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 10 "+3V3") (pintype "passive") (tstamp 24ee9664-4010-4b31-a248-883ae934ed14)) + (pad "2" smd roundrect (at 0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 5e923a28-235c-4b23-a062-9928156606c3)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp f24958d7-9a24-4355-bfb6-06404f98bda9) + (at 171.6 87.3 -90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "LCSC" "C14663") + (property "Manufacturer" "Yageo") + (property "Manufacturer_No" "CC0603JPX7R9BB104") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/40bd1416-adad-4c66-991f-c0c2405d2665") + (attr smd) + (fp_text reference "C7" (at 0 1.27 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 72a38476-4da0-41dc-a558-d3a0b209e9c7) + ) + (fp_text value "0.1uF" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp cf6be6e1-1c72-42c2-846f-0ebc7530e0e6) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 3b80d1b6-05f0-4b1c-b2ac-7c04477b4a76) + ) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 1ed67dca-5ce0-4319-9f52-a362d41d4c64)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp bae818fa-02b0-4414-b70f-8acf0356ca39)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 13382c69-3415-48a2-9a02-98dbda5ffa3b)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 198aa3af-d2c3-496e-a782-0adc9de3165a)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 6fdbd71b-0f28-433a-84b6-d2ff4b2a9fac)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp c56491cf-8e19-48fd-91d7-2bb7c53009f6)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 3d39df10-0db6-4445-b48e-bb8f10e2a21d)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 6b66bd68-a1c6-4cbc-8d4f-c1e358dfbfa7)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 8a09f3f5-127c-404e-8c09-26b10da777bb)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp aab835bd-c525-43ed-8712-ee04a5153837)) + (pad "1" smd roundrect (at -0.775 0 270) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "+5V") (pintype "passive") (tstamp 3b1b8043-d23e-462d-9f9b-65fcec56e032)) + (pad "2" smd roundrect (at 0.775 0 270) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 101e86b6-165f-4b3b-938e-8ae0aec2e7c4)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_SO:SOIC-8_5.23x5.23mm_P1.27mm" (layer "F.Cu") + (tedit 5D9F72B1) (tstamp f8b6dc9d-a443-4861-bfd4-c10b48dd68c0) + (at 111.11 95.27 90) + (descr "SOIC, 8 Pin (http://www.winbond.com/resource-files/w25q32jv%20revg%2003272018%20plus.pdf#page=68), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "SOIC SO") + (property "LCSC" "C82344") + (property "Manufacturer" "Winbond") + (property "Manufacturer_No" "W25Q32JVSS") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/cb00bf53-65b3-4c9a-894b-915b5a154ce1") + (attr smd) + (fp_text reference "U5" (at -5.38 1.86 180) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ce9ddd42-d205-4df3-b786-b43e9ed05692) + ) + (fp_text value "W25Q32JVSS" (at 0 3.56 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 35105edc-2269-4e67-ae6a-b812de54704c) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 16a416fa-6e4a-48d5-9490-ef6c884fed51) + ) + (fp_line (start -2.725 -2.465) (end -4.4 -2.465) (layer "F.SilkS") (width 0.12) (tstamp 364d9dc5-b109-4b53-b451-d3f7ee4d4076)) + (fp_line (start -2.725 2.725) (end -2.725 2.465) (layer "F.SilkS") (width 0.12) (tstamp 4547312e-09a7-4a8f-ad64-3a0203e3e413)) + (fp_line (start 0 -2.725) (end -2.725 -2.725) (layer "F.SilkS") (width 0.12) (tstamp 514e7516-1327-4709-984b-f9d09b137a1a)) + (fp_line (start -2.725 -2.725) (end -2.725 -2.465) (layer "F.SilkS") (width 0.12) (tstamp 6aab4edb-c8c4-4bf0-9e3f-a7b7feafc549)) + (fp_line (start 0 2.725) (end -2.725 2.725) (layer "F.SilkS") (width 0.12) (tstamp 9fe4018d-3f34-4eae-8bdb-61c86e9e8e88)) + (fp_line (start 2.725 -2.725) (end 2.725 -2.465) (layer "F.SilkS") (width 0.12) (tstamp aa75b1ed-e814-4f86-9c0b-de5b819b4ca0)) + (fp_line (start 0 2.725) (end 2.725 2.725) (layer "F.SilkS") (width 0.12) (tstamp c8ab7680-dd5d-443d-b259-6edc12bae390)) + (fp_line (start 2.725 2.725) (end 2.725 2.465) (layer "F.SilkS") (width 0.12) (tstamp d623f12b-a54c-41a6-80bc-12292e5acc6e)) + (fp_line (start 0 -2.725) (end 2.725 -2.725) (layer "F.SilkS") (width 0.12) (tstamp dec96a30-2cc1-49aa-aa5c-cd468e4ed646)) + (fp_line (start 4.65 2.86) (end 4.65 -2.86) (layer "F.CrtYd") (width 0.05) (tstamp 1975f243-99b2-430f-bcf4-04af409e2308)) + (fp_line (start -4.65 2.86) (end 4.65 2.86) (layer "F.CrtYd") (width 0.05) (tstamp 20048fbe-9f53-4db3-8969-011f6c2dc293)) + (fp_line (start 4.65 -2.86) (end -4.65 -2.86) (layer "F.CrtYd") (width 0.05) (tstamp 493edd59-b902-4396-978f-2cfafa7d079c)) + (fp_line (start -4.65 -2.86) (end -4.65 2.86) (layer "F.CrtYd") (width 0.05) (tstamp a14d4692-0e59-4f1c-9683-35c080aeb7fa)) + (fp_line (start -1.615 -2.615) (end 2.615 -2.615) (layer "F.Fab") (width 0.1) (tstamp 3149c391-405f-4dab-b301-95e730c6db09)) + (fp_line (start -2.615 -1.615) (end -1.615 -2.615) (layer "F.Fab") (width 0.1) (tstamp 33aee27e-5389-4a9b-baeb-96e7ace5aab1)) + (fp_line (start 2.615 -2.615) (end 2.615 2.615) (layer "F.Fab") (width 0.1) (tstamp 5b61d2dc-fcc4-4a7f-a8f2-95d60bbd659e)) + (fp_line (start -2.615 2.615) (end -2.615 -1.615) (layer "F.Fab") (width 0.1) (tstamp 6abe330d-d2aa-45b1-9ca4-d0bd468b353c)) + (fp_line (start 2.615 2.615) (end -2.615 2.615) (layer "F.Fab") (width 0.1) (tstamp 7371e418-16aa-440c-bf8c-79e52e6944f7)) + (pad "1" smd roundrect (at -3.6 -1.905 90) (size 1.6 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 14 "QSPI_CS") (pinfunction "~{CS}") (pintype "input") (tstamp 0e684a8b-15bf-43d7-a12b-4beba0dd0d86)) + (pad "2" smd roundrect (at -3.6 -0.635 90) (size 1.6 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 21 "QSPI_SD1") (pinfunction "DO(IO1)") (pintype "bidirectional") (tstamp 9fc4d1fa-c7e6-456e-9599-829c96a8517d)) + (pad "3" smd roundrect (at -3.6 0.635 90) (size 1.6 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 22 "QSPI_SD2") (pinfunction "IO2") (pintype "bidirectional") (tstamp 3b4b59d3-3404-4087-8415-afb1c606a6e5)) + (pad "4" smd roundrect (at -3.6 1.905 90) (size 1.6 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 59f91cab-9533-4b10-a839-14248611c51d)) + (pad "5" smd roundrect (at 3.6 1.905 90) (size 1.6 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 23 "QSPI_SD0") (pinfunction "DI(IO0)") (pintype "bidirectional") (tstamp e9d11252-8206-4d7e-b96d-ef83e38db357)) + (pad "6" smd roundrect (at 3.6 0.635 90) (size 1.6 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 24 "QSPI_SCK") (pinfunction "CLK") (pintype "input") (tstamp ca02c61f-60b6-481b-9c17-00d8642b1f7b)) + (pad "7" smd roundrect (at 3.6 -0.635 90) (size 1.6 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 25 "QSPI_SD3") (pinfunction "IO3") (pintype "bidirectional") (tstamp 4ff5a05d-8ef9-431f-9d84-595db30e807e)) + (pad "8" smd roundrect (at 3.6 -1.905 90) (size 1.6 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 10 "+3V3") (pinfunction "VCC") (pintype "power_in") (tstamp 8f28b848-eb9d-4091-a3f1-6f215172b134)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/SOIC-8_5.275x5.275mm_P1.27mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp fccd98ba-1a2d-464f-a696-257c56667939) + (at 123.25 62.58 -90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "LCSC" "C14663") + (property "Manufacturer" "Yageo") + (property "Manufacturer_No" "CC0603JPX7R9BB104") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/843310ac-ca2c-4ccb-8408-3807c69d046c") + (attr smd) + (fp_text reference "C18" (at 0.04 1.23 -90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp b346b344-bfee-4065-9bc4-79ac7e3fed49) + ) + (fp_text value "0.1uF" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f1be0eae-9622-4b52-b3c9-deba7a9239c4) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 6a636093-08b7-4ed8-ac36-51b4058a538b) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp cd8aa73f-0f09-4950-99fa-bbe9c0aac8cb)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp febf4d29-61a0-45a6-af30-dcf46dba6c04)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 11c0d9fe-6089-4137-92a8-0a4591c631e3)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 4a6765e8-a260-422a-b51d-26162d350051)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 9241e109-d0c5-40f4-abf9-0ace915809e6)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp fa45a7f1-bb9a-4f3d-90f4-a2296dcdd99a)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 4abbb3b5-2f4d-4943-96ee-239fa230a84a)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 6f3551c3-2f45-471f-9a4a-f94b7828b15f)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 81b53d21-852d-4475-8cdf-7602560bab27)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp b5a6508e-98cd-4a9f-9313-9d91ec8022ad)) + (pad "1" smd roundrect (at -0.775 0 270) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "+5V") (pintype "passive") (tstamp 741e8f22-d100-4666-aee3-01e9a9d4beef)) + (pad "2" smd roundrect (at 0.775 0 270) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 0e28731d-83df-4b37-85db-0bf45fefb5b6)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "keyswitches:PG1350" (layer "B.Cu") + (tedit 5F0F4E4B) (tstamp 00000000-0000-0000-0000-00005d9980d1) + (at 175.42 67.24 180) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags "kailh,choc") + (property "LCSC" "DNP") + (property "Manufacturer" "Kailh") + (property "Manufacturer_No" "CPG135001D02") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005d501af4") + (attr through_hole) + (fp_text reference "SW1" (at 0 -3.4) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp afd6ef1f-0522-4350-979d-5f06d8ad4c48) + ) + (fp_text value "Choc" (at 0 8.7) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp f77b4dbf-d445-409f-8b95-9f6cf6f03a87) + ) + (fp_line (start 7.5 -7.5) (end -7.5 -7.5) (layer "Eco2.User") (width 0.15) (tstamp 2df46164-3119-45d4-9958-f5a23c8e349f)) + (fp_line (start -7.5 -7.5) (end -7.5 7.5) (layer "Eco2.User") (width 0.15) (tstamp 30f356ba-b92d-47d6-97b0-6e2756a610ee)) + (fp_line (start 6.9 6.9) (end -6.9 6.9) (layer "Eco2.User") (width 0.15) (tstamp 343c5a58-3bed-4a66-a98b-ac3fd9e85a0d)) + (fp_line (start -6.9 -6.9) (end 6.9 -6.9) (layer "Eco2.User") (width 0.15) (tstamp 51bb014a-fe31-4ef3-8095-77fff26af723)) + (fp_line (start 7.5 7.5) (end 7.5 -7.5) (layer "Eco2.User") (width 0.15) (tstamp 51f4fba6-fde0-4b7c-a7cc-0dbe7f051f8c)) + (fp_line (start -2.6 3.1) (end 2.6 3.1) (layer "Eco2.User") (width 0.15) (tstamp 958cf841-1db3-4e1d-b1ce-8087bd6900f7)) + (fp_line (start -2.6 3.1) (end -2.6 6.3) (layer "Eco2.User") (width 0.15) (tstamp c0578dcc-6567-4c24-8cd2-b543d0014c79)) + (fp_line (start 2.6 3.1) (end 2.6 6.3) (layer "Eco2.User") (width 0.15) (tstamp c2d97359-aa8c-44a5-a552-5e45f33d4b10)) + (fp_line (start 6.9 6.9) (end 6.9 -6.9) (layer "Eco2.User") (width 0.15) (tstamp c39d3b90-c1e0-4c9a-a4e8-bc5b7599f00c)) + (fp_line (start -6.9 -6.9) (end -6.9 6.9) (layer "Eco2.User") (width 0.15) (tstamp ee652bd7-172b-4ae1-a766-e8a9a4f2b01d)) + (fp_line (start 2.6 6.3) (end -2.6 6.3) (layer "Eco2.User") (width 0.15) (tstamp f03e70cf-17fd-4002-b6f7-9b59ff37309e)) + (fp_line (start -7.5 7.5) (end 7.5 7.5) (layer "Eco2.User") (width 0.15) (tstamp fc243555-98dc-4a45-ab33-35142ac8f5ea)) + (pad "" np_thru_hole circle (at 0 0 180) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask) (tstamp 49c3157d-4230-4ad2-885c-e33bfa3aaba5)) + (pad "" np_thru_hole circle (at 5.5 0 180) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask) (tstamp 7ab62d3b-bf9f-42e9-a3cc-bef90114b2cf)) + (pad "" np_thru_hole circle (at -5.5 0 180) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask) (tstamp f9f26685-da14-4ff6-ac18-9961443c09c3)) + (pad "1" thru_hole circle (at 0 -5.9 180) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 53 "Net-(SW1-Pad1)") (pinfunction "1") (pintype "passive") (tstamp 4f68fe72-e8ad-4056-bd83-284a5b250f72)) + (pad "2" thru_hole circle (at -5 -3.8 180) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "2") (pintype "passive") (tstamp b3dd46b1-ddf1-403f-99ba-cceedd878588)) + (model "${KIPRJMOD}/3d-models/Kailh_switch_1x1.step" + (offset (xyz 0 0 7.1)) + (scale (xyz 1 1 1)) + (rotate (xyz -90 0 0)) + ) + ) + + (footprint "keyswitches:PG1350" (layer "B.Cu") + (tedit 5F0F4E4B) (tstamp 00000000-0000-0000-0000-00005d99810d) + (at 173.71 91.94 180) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags "kailh,choc") + (property "LCSC" "DNP") + (property "Manufacturer" "Kailh") + (property "Manufacturer_No" "CPG135001D02") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005d4fe1b3") + (attr through_hole) + (fp_text reference "SW3" (at 0 -3.4) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 964c0886-7d4c-4499-88d8-75129258c128) + ) + (fp_text value "Choc" (at 0 8.7) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 75d4396b-048f-458b-bce5-ff8e30a2143d) + ) + (fp_line (start -6.9 -6.9) (end 6.9 -6.9) (layer "Eco2.User") (width 0.15) (tstamp 0acf58d3-f430-4f33-a996-a7ae4aa25cb1)) + (fp_line (start 6.9 6.9) (end 6.9 -6.9) (layer "Eco2.User") (width 0.15) (tstamp 1b4fce6c-b91b-48fc-aba1-6e2f8c6de9c3)) + (fp_line (start -2.6 3.1) (end -2.6 6.3) (layer "Eco2.User") (width 0.15) (tstamp 1cd6078a-8c06-47f4-8acf-aa8389dce297)) + (fp_line (start 6.9 6.9) (end -6.9 6.9) (layer "Eco2.User") (width 0.15) (tstamp 33eb8f65-c5d2-4214-b981-e478be309ea8)) + (fp_line (start 7.5 -7.5) (end -7.5 -7.5) (layer "Eco2.User") (width 0.15) (tstamp 35f2f47e-6cec-48ac-bd68-9ddc81d960ef)) + (fp_line (start -6.9 -6.9) (end -6.9 6.9) (layer "Eco2.User") (width 0.15) (tstamp 9a9e56ab-1bef-4ec6-b2a1-e9fb776a0b9d)) + (fp_line (start 2.6 3.1) (end 2.6 6.3) (layer "Eco2.User") (width 0.15) (tstamp 9e50f1db-47b7-4c63-8661-80b9d84e1d6c)) + (fp_line (start -2.6 3.1) (end 2.6 3.1) (layer "Eco2.User") (width 0.15) (tstamp a8aeddde-efe3-42df-9eef-62ee2f7dc3dd)) + (fp_line (start -7.5 7.5) (end 7.5 7.5) (layer "Eco2.User") (width 0.15) (tstamp b526ba8f-2d74-40f4-b2f2-1d8ab09f8e80)) + (fp_line (start 2.6 6.3) (end -2.6 6.3) (layer "Eco2.User") (width 0.15) (tstamp c680e7e8-39ba-4299-b133-abdbab9c3105)) + (fp_line (start 7.5 7.5) (end 7.5 -7.5) (layer "Eco2.User") (width 0.15) (tstamp e5b6be7d-df5c-4ffa-afaa-fca892783eb5)) + (fp_line (start -7.5 -7.5) (end -7.5 7.5) (layer "Eco2.User") (width 0.15) (tstamp eba91b1c-1472-4272-b1d3-e18fbebae0d6)) + (pad "" np_thru_hole circle (at -5.5 0 180) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask) (tstamp 31fa32da-21a6-4693-9450-e16b1f5e4a6b)) + (pad "" np_thru_hole circle (at 0 0 180) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask) (tstamp 3b796cab-31e6-4370-8439-5566157adb6c)) + (pad "" np_thru_hole circle (at 5.5 0 180) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask) (tstamp 6b8fd6ba-ac7c-4682-92e0-f666eb85d69d)) + (pad "1" thru_hole circle (at 0 -5.9 180) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 62 "Net-(SW3-Pad1)") (pinfunction "1") (pintype "passive") (tstamp 5bec1f4e-c21d-4568-abac-191813cf4e66)) + (pad "2" thru_hole circle (at -5 -3.8 180) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "2") (pintype "passive") (tstamp edbd67a3-7450-44d8-9afb-ebbc3d26f2f0)) + (model "${KIPRJMOD}/3d-models/Kailh_switch_1x1.step" + (offset (xyz 0 0 7.1)) + (scale (xyz 1 1 1)) + (rotate (xyz -90 0 0)) + ) + ) + + (footprint "keyswitches:PG1350" (layer "B.Cu") + (tedit 5F0F4E4B) (tstamp 00000000-0000-0000-0000-00005d99812b) + (at 150.71 91.94 180) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags "kailh,choc") + (property "LCSC" "DNP") + (property "Manufacturer" "Kailh") + (property "Manufacturer_No" "CPG135001D02") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005d4fc3b4") + (attr through_hole) + (fp_text reference "SW4" (at 0.01 -3.26) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp ff5338bd-ae18-492f-a380-844849895ccc) + ) + (fp_text value "Choc" (at 0 8.7) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp f0b86dd3-e987-4cd0-93a4-a949b8d2e04c) + ) + (fp_line (start -7.5 -7.5) (end -7.5 7.5) (layer "Eco2.User") (width 0.15) (tstamp 107295c6-5afa-44d4-8706-0b50800fb9c4)) + (fp_line (start -2.6 3.1) (end -2.6 6.3) (layer "Eco2.User") (width 0.15) (tstamp 2907f675-914d-40dd-9592-dfa034193919)) + (fp_line (start 7.5 7.5) (end 7.5 -7.5) (layer "Eco2.User") (width 0.15) (tstamp 39a65f73-346e-43a2-afb4-97b2ee187fa4)) + (fp_line (start -2.6 3.1) (end 2.6 3.1) (layer "Eco2.User") (width 0.15) (tstamp 4fd934ac-5920-4e84-80d8-59d0e6a558d4)) + (fp_line (start 2.6 3.1) (end 2.6 6.3) (layer "Eco2.User") (width 0.15) (tstamp 705e5364-75b9-46a4-aa3e-4a335c3cad91)) + (fp_line (start 6.9 6.9) (end 6.9 -6.9) (layer "Eco2.User") (width 0.15) (tstamp abbab5e4-01ef-44c2-b9f4-d005fd3bafb4)) + (fp_line (start 2.6 6.3) (end -2.6 6.3) (layer "Eco2.User") (width 0.15) (tstamp bb8a9202-f3aa-4d98-a886-4661e1fb4123)) + (fp_line (start -7.5 7.5) (end 7.5 7.5) (layer "Eco2.User") (width 0.15) (tstamp bc34a7db-8691-4ef2-ad54-236f3d26f33c)) + (fp_line (start 7.5 -7.5) (end -7.5 -7.5) (layer "Eco2.User") (width 0.15) (tstamp ca1f936a-8e0e-4dd5-b118-1867a8c8a8cd)) + (fp_line (start -6.9 -6.9) (end -6.9 6.9) (layer "Eco2.User") (width 0.15) (tstamp e0f57447-735d-4006-838c-6ce908d42db4)) + (fp_line (start 6.9 6.9) (end -6.9 6.9) (layer "Eco2.User") (width 0.15) (tstamp e8afa65a-f321-471c-9f92-5d1384d70eb1)) + (fp_line (start -6.9 -6.9) (end 6.9 -6.9) (layer "Eco2.User") (width 0.15) (tstamp fc3a2640-443b-4ea3-9e44-376903892da8)) + (pad "" np_thru_hole circle (at 0 0 180) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask) (tstamp 50d308e7-c417-4f2f-9e77-1f1805402469)) + (pad "" np_thru_hole circle (at -5.5 0 180) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask) (tstamp 61d05eb2-5222-47d1-be88-cc01132e1e4b)) + (pad "" np_thru_hole circle (at 5.5 0 180) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask) (tstamp a0b69578-32e3-49fd-8f52-b3e2109a4084)) + (pad "1" thru_hole circle (at 0 -5.9 180) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 63 "Net-(SW4-Pad1)") (pinfunction "1") (pintype "passive") (tstamp a57392c1-ffe3-4734-aab3-6e6bef6553a9)) + (pad "2" thru_hole circle (at -5 -3.8 180) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "2") (pintype "passive") (tstamp eb9ed964-b68b-45c8-baca-4c5ed593b110)) + (model "${KIPRJMOD}/3d-models/Kailh_switch_1x1.step" + (offset (xyz 0 0 7.1)) + (scale (xyz 1 1 1)) + (rotate (xyz -90 0 0)) + ) + ) + + (footprint "keyswitches:PG1350" (layer "B.Cu") + (tedit 5F0F4E4B) (tstamp 00000000-0000-0000-0000-00005d998149) + (at 127.72 91.94 180) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags "kailh,choc") + (property "LCSC" "DNP") + (property "Manufacturer" "Kailh") + (property "Manufacturer_No" "CPG135001D02") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005d4fc0bb") + (attr through_hole) + (fp_text reference "SW5" (at 0 -3.4) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 26f769ab-f7e3-4ac3-8fae-7c8474d522d2) + ) + (fp_text value "Choc" (at 0 8.7) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 097756f2-1dc2-4120-a493-2d28a5882f48) + ) + (fp_line (start -6.9 -6.9) (end 6.9 -6.9) (layer "Eco2.User") (width 0.15) (tstamp 0cb4a813-2bfd-4703-960a-335b9c89a0cb)) + (fp_line (start 6.9 6.9) (end -6.9 6.9) (layer "Eco2.User") (width 0.15) (tstamp 35683a4c-f8c9-4857-b074-4e4fa58bb78e)) + (fp_line (start -6.9 -6.9) (end -6.9 6.9) (layer "Eco2.User") (width 0.15) (tstamp 5d50678b-186b-43e8-a314-8025b4d00f8f)) + (fp_line (start 2.6 6.3) (end -2.6 6.3) (layer "Eco2.User") (width 0.15) (tstamp 61db2f52-0a08-44e6-a566-5e439bee54e2)) + (fp_line (start -7.5 7.5) (end 7.5 7.5) (layer "Eco2.User") (width 0.15) (tstamp bf445d97-3510-4fb2-ae35-111af7722514)) + (fp_line (start -2.6 3.1) (end 2.6 3.1) (layer "Eco2.User") (width 0.15) (tstamp c8326b75-0249-4239-ae96-64db3ea5ade3)) + (fp_line (start 7.5 7.5) (end 7.5 -7.5) (layer "Eco2.User") (width 0.15) (tstamp d5b0f22a-ffb8-463f-a171-5971b945a75c)) + (fp_line (start -2.6 3.1) (end -2.6 6.3) (layer "Eco2.User") (width 0.15) (tstamp dff3497d-3ba1-4e8c-ae2b-c515fa047370)) + (fp_line (start 7.5 -7.5) (end -7.5 -7.5) (layer "Eco2.User") (width 0.15) (tstamp e1e07523-a4f5-4c25-8bf9-820850a404c5)) + (fp_line (start -7.5 -7.5) (end -7.5 7.5) (layer "Eco2.User") (width 0.15) (tstamp f69f1543-04d8-432a-8a34-6e2d5650f744)) + (fp_line (start 6.9 6.9) (end 6.9 -6.9) (layer "Eco2.User") (width 0.15) (tstamp f94a8f34-3e42-4980-ac47-d009120ec371)) + (fp_line (start 2.6 3.1) (end 2.6 6.3) (layer "Eco2.User") (width 0.15) (tstamp fcf9f58c-ef98-434a-829e-6ac598fab025)) + (pad "" np_thru_hole circle (at 5.5 0 180) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask) (tstamp 2aea0e9f-d625-49ff-bb7c-df5ed90f94ef)) + (pad "" np_thru_hole circle (at 0 0 180) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask) (tstamp c47bbf75-856d-43a5-84d6-f093df1edc94)) + (pad "" np_thru_hole circle (at -5.5 0 180) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask) (tstamp ed8be3cc-e39f-45f0-a267-5c83bf6aa710)) + (pad "1" thru_hole circle (at 0 -5.9 180) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 64 "Net-(SW5-Pad1)") (pinfunction "1") (pintype "passive") (tstamp 4b404510-0579-4cdf-afc4-159bb75f0c2a)) + (pad "2" thru_hole circle (at -5 -3.8 180) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "2") (pintype "passive") (tstamp a715645a-ac60-4d44-977a-08751f5912fa)) + (model "${KIPRJMOD}/3d-models/Kailh_switch_1x1.step" + (offset (xyz 0 0 7.1)) + (scale (xyz 1 1 1)) + (rotate (xyz -90 0 0)) + ) + ) + + (footprint "keyswitches:PG1350" (layer "B.Cu") + (tedit 5F0F4E4B) (tstamp 00000000-0000-0000-0000-00005d9abae4) + (at 126.01 67.24 180) + (descr "Kailh \"Choc\" PG1350 keyswitch") + (tags "kailh,choc") + (property "LCSC" "DNP") + (property "Manufacturer" "Kailh") + (property "Manufacturer_No" "CPG135001D02") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005d4fe717") + (attr through_hole) + (fp_text reference "SW2" (at 0 -3.4) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp a79fcb84-d017-4fe2-ac1a-b1714b043359) + ) + (fp_text value "Choc" (at 0 8.7) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 019105c0-d134-4db9-8d71-109c03f42b44) + ) + (fp_line (start 7.5 -7.5) (end -7.5 -7.5) (layer "Eco2.User") (width 0.15) (tstamp 142839fd-f1f5-418c-b5a2-9abf2d7cb53c)) + (fp_line (start 6.9 6.9) (end -6.9 6.9) (layer "Eco2.User") (width 0.15) (tstamp 2b588b42-9b35-4791-9105-c952caeb8c13)) + (fp_line (start -6.9 -6.9) (end -6.9 6.9) (layer "Eco2.User") (width 0.15) (tstamp 3822cfce-a92b-4aaa-b7f0-fa9ad4a5396f)) + (fp_line (start 6.9 6.9) (end 6.9 -6.9) (layer "Eco2.User") (width 0.15) (tstamp 4ad3662e-c9f6-4c15-a414-22383b8bfaa4)) + (fp_line (start -7.5 7.5) (end 7.5 7.5) (layer "Eco2.User") (width 0.15) (tstamp 4cf36632-1c39-492f-acd7-ed846f2fea13)) + (fp_line (start -2.6 3.1) (end -2.6 6.3) (layer "Eco2.User") (width 0.15) (tstamp 5d163630-1ac1-4d54-bdf4-9b3b6a287d59)) + (fp_line (start -2.6 3.1) (end 2.6 3.1) (layer "Eco2.User") (width 0.15) (tstamp 75a60f6b-b8c9-4f81-822e-be53b9dbca26)) + (fp_line (start -6.9 -6.9) (end 6.9 -6.9) (layer "Eco2.User") (width 0.15) (tstamp 8f049f5f-2169-43cd-bfb8-bec559fba21d)) + (fp_line (start -7.5 -7.5) (end -7.5 7.5) (layer "Eco2.User") (width 0.15) (tstamp 9a5fb7cf-aa85-4416-8f39-b60c2692bf70)) + (fp_line (start 7.5 7.5) (end 7.5 -7.5) (layer "Eco2.User") (width 0.15) (tstamp a2fa35e9-6e71-4f1c-8404-cbe5ca42f8fc)) + (fp_line (start 2.6 6.3) (end -2.6 6.3) (layer "Eco2.User") (width 0.15) (tstamp c90522db-081b-4095-9959-fdf1191fccee)) + (fp_line (start 2.6 3.1) (end 2.6 6.3) (layer "Eco2.User") (width 0.15) (tstamp d5199eb7-0cf6-48f6-9e0e-0f6e0adb7092)) + (pad "" np_thru_hole circle (at -5.5 0 180) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask) (tstamp 0fcd7bd3-6ee0-41f4-8402-c637c95d60de)) + (pad "" np_thru_hole circle (at 5.5 0 180) (size 1.7018 1.7018) (drill 1.7018) (layers *.Cu *.Mask) (tstamp 16f30a4c-9fdb-4c98-8d1d-a1552ff2b8c1)) + (pad "" np_thru_hole circle (at 0 0 180) (size 3.429 3.429) (drill 3.429) (layers *.Cu *.Mask) (tstamp 1f720539-7cd6-400c-997f-c36ce13a712d)) + (pad "1" thru_hole circle (at 0 -5.9 180) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 59 "Net-(SW2-Pad1)") (pinfunction "1") (pintype "passive") (tstamp e8af4a3f-d228-444d-90e2-f4153d0e22b5)) + (pad "2" thru_hole circle (at -5 -3.8 180) (size 2.032 2.032) (drill 1.27) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "2") (pintype "passive") (tstamp 853c382e-8a65-4fd4-b7e3-51790e453871)) + (model "${KIPRJMOD}/3d-models/Kailh_switch_1x1.step" + (offset (xyz 0 0 7.1)) + (scale (xyz 1 1 1)) + (rotate (xyz -90 0 0)) + ) + ) + + (footprint "footprints:SK6805-EC15" (layer "B.Cu") + (tedit 0) (tstamp 55e5de65-5206-43e4-81ae-a02fbfb8ee9d) + (at 173.71 87.25) + (property "LCSC" "C2890036") + (property "Manufacturer" "OPSCO") + (property "Manufacturer_No" "SK6805-EC20") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/b7bed221-8071-43ea-ab11-b8ac1bc41b5d") + (attr smd) + (fp_text reference "D3" (at 0 1.75 unlocked) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp bd160c6d-6606-4ff9-bc7e-bc4c234bca7a) + ) + (fp_text value "SK6805-EC15" (at 0 -4.7 -180 unlocked) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 53fb9220-d05d-4acf-a1e3-670d01bd1543) + ) + (fp_text user "${REFERENCE}" (at 0 -6.2 -180 unlocked) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 5e67f67d-bbfd-4fe6-aed2-85d3c3e88755) + ) + (fp_rect (start -0.9 0.9) (end 0.9 -0.9) (layer "B.SilkS") (width 0.12) (fill none) (tstamp c6b3019b-2b45-477b-83f3-c9f66cf765c1)) + (fp_circle (center -1.25 -1.2) (end -1.15 -1.3) (layer "B.SilkS") (width 0.12) (fill none) (tstamp a00a4343-8da8-45c6-928f-bef4fe5d5b93)) + (pad "1" smd rect (at -0.475 -0.475) (size 0.55 0.55) (layers "B.Cu" "B.Paste" "B.Mask") + (net 51 "Net-(D3-Pad1)") (pinfunction "DIN") (pintype "input") (tstamp ad85af44-22dd-4584-8522-8a6fdac7b4b7)) + (pad "2" smd rect (at 0.475 -0.475) (size 0.55 0.55) (layers "B.Cu" "B.Paste" "B.Mask") + (net 5 "+5V") (pinfunction "VDD") (pintype "power_in") (tstamp 6cf31d8c-8798-4b0f-97d9-dfc200be4fe5)) + (pad "3" smd rect (at 0.475 0.475) (size 0.55 0.55) (layers "B.Cu" "B.Paste" "B.Mask") + (net 4 "Net-(D1-Pad1)") (pinfunction "DOUT") (pintype "output") (tstamp 641a95d9-f63d-4f2c-b53d-f643ab93061b)) + (pad "4" smd rect (at -0.475 0.475) (size 0.55 0.55) (layers "B.Cu" "B.Paste" "B.Mask") + (net 1 "GND") (pinfunction "VSS") (pintype "power_in") (tstamp e9832734-c9fd-4e4a-a43a-bc4358a3b852)) + ) + + (footprint "footprints:SK6805-EC15" (layer "B.Cu") + (tedit 0) (tstamp 7545d6ad-b8bb-462d-b5bf-976d98a37343) + (at 150.71 87.25) + (property "LCSC" "C2890036") + (property "Manufacturer" "OPSCO") + (property "Manufacturer_No" "SK6805-EC20") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/0b943514-fbab-41a6-9c2c-370c2a61f2cf") + (attr smd) + (fp_text reference "D4" (at 0 1.75 unlocked) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 58709f29-5f6d-4d63-be7b-5d78a3232c6e) + ) + (fp_text value "SK6805-EC15" (at 0 -4.7 -180 unlocked) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp cd72dc6f-8f95-43cc-bf77-daf7f1a985ce) + ) + (fp_text user "${REFERENCE}" (at 0 -6.2 -180 unlocked) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp ffe55b87-cbbb-4ded-be43-9e1f46aad82e) + ) + (fp_rect (start -0.9 0.9) (end 0.9 -0.9) (layer "B.SilkS") (width 0.12) (fill none) (tstamp 28bae98d-b09c-4247-97cc-37688b02efd3)) + (fp_circle (center -1.25 -1.2) (end -1.15 -1.3) (layer "B.SilkS") (width 0.12) (fill none) (tstamp d8d28d4e-94b6-4f1a-ab0d-c41fba5136ce)) + (pad "1" smd rect (at -0.475 -0.475) (size 0.55 0.55) (layers "B.Cu" "B.Paste" "B.Mask") + (net 61 "Net-(D4-Pad1)") (pinfunction "DIN") (pintype "input") (tstamp 2a2b58f2-6153-4ac1-9d8d-5b799789e4be)) + (pad "2" smd rect (at 0.475 -0.475) (size 0.55 0.55) (layers "B.Cu" "B.Paste" "B.Mask") + (net 5 "+5V") (pinfunction "VDD") (pintype "power_in") (tstamp 578c642a-b7e6-4acb-9609-c79aadf38c64)) + (pad "3" smd rect (at 0.475 0.475) (size 0.55 0.55) (layers "B.Cu" "B.Paste" "B.Mask") + (net 51 "Net-(D3-Pad1)") (pinfunction "DOUT") (pintype "output") (tstamp f82e217a-9023-4d76-844d-d33e3aa567ba)) + (pad "4" smd rect (at -0.475 0.475) (size 0.55 0.55) (layers "B.Cu" "B.Paste" "B.Mask") + (net 1 "GND") (pinfunction "VSS") (pintype "power_in") (tstamp d3ed7f6b-bfd9-478f-89e4-83548ac934dc)) + ) + + (footprint "footprints:SK6805-EC15" (layer "B.Cu") + (tedit 0) (tstamp abd23d1d-4c61-4def-99f7-55b46d38af5f) + (at 126.015 62.55) + (property "LCSC" "C2890036") + (property "Manufacturer" "OPSCO") + (property "Manufacturer_No" "SK6805-EC20") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/42e165b3-52d2-456f-909e-f2b72396af8c") + (attr smd) + (fp_text reference "D2" (at 0 1.75 unlocked) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp fa45a744-c42a-4a40-9996-143facb4823b) + ) + (fp_text value "SK6805-EC15" (at 0 -4.7 -180 unlocked) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp af55ee4e-1806-43d7-9f53-43bdd605dc6c) + ) + (fp_text user "${REFERENCE}" (at 0 -6.2 -180 unlocked) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp e7e2e64f-984d-4c1e-b7dc-5b086727fe88) + ) + (fp_rect (start -0.9 0.9) (end 0.9 -0.9) (layer "B.SilkS") (width 0.12) (fill none) (tstamp d4af9e32-ed11-45ad-a694-16f589564ec3)) + (fp_circle (center -1.25 -1.2) (end -1.15 -1.3) (layer "B.SilkS") (width 0.12) (fill none) (tstamp 5dbfeda2-8db3-464c-ab3d-e4469b81251c)) + (pad "1" smd rect (at -0.475 -0.475) (size 0.55 0.55) (layers "B.Cu" "B.Paste" "B.Mask") + (net 17 "Net-(D2-Pad1)") (pinfunction "DIN") (pintype "input") (tstamp 8bc23fea-05e7-413b-a9eb-84dfa3667dcb)) + (pad "2" smd rect (at 0.475 -0.475) (size 0.55 0.55) (layers "B.Cu" "B.Paste" "B.Mask") + (net 5 "+5V") (pinfunction "VDD") (pintype "power_in") (tstamp 63ca6239-dd5c-4c5c-aa5a-b43bd3b9aa46)) + (pad "3" smd rect (at 0.475 0.475) (size 0.55 0.55) (layers "B.Cu" "B.Paste" "B.Mask") + (net 19 "Net-(D2-Pad3)") (pinfunction "DOUT") (pintype "output") (tstamp 5031e508-e029-4a18-92c1-fea163c15876)) + (pad "4" smd rect (at -0.475 0.475) (size 0.55 0.55) (layers "B.Cu" "B.Paste" "B.Mask") + (net 1 "GND") (pinfunction "VSS") (pintype "power_in") (tstamp e541c4a7-3400-4168-80b7-1d5f70f37d14)) + ) + + (footprint "footprints:SK6805-EC15" (layer "B.Cu") + (tedit 0) (tstamp b5293445-64b1-4c30-8e88-33e2d88b75b0) + (at 175.42 62.55) + (property "LCSC" "C2890036") + (property "Manufacturer" "OPSCO") + (property "Manufacturer_No" "SK6805-EC20") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/e42b30a4-727b-493a-a1f4-6a28770947ca") + (attr smd) + (fp_text reference "D1" (at 0 1.75 unlocked) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 176217d5-b3e0-4b87-bf88-c20e714cbde7) + ) + (fp_text value "SK6805-EC15" (at 0 -4.7 -180 unlocked) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 3cecb5ec-7b8c-46be-9d9f-44e10e83ac4a) + ) + (fp_text user "${REFERENCE}" (at 0 -6.2 -180 unlocked) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 92857c7d-d6ce-4881-aa3c-301b04b799cb) + ) + (fp_rect (start -0.9 0.9) (end 0.9 -0.9) (layer "B.SilkS") (width 0.12) (fill none) (tstamp 44f7f218-0553-4b54-8386-013267341114)) + (fp_circle (center -1.25 -1.2) (end -1.15 -1.3) (layer "B.SilkS") (width 0.12) (fill none) (tstamp 897bb8d5-5ecc-432e-ace6-55716b9e1217)) + (pad "1" smd rect (at -0.475 -0.475) (size 0.55 0.55) (layers "B.Cu" "B.Paste" "B.Mask") + (net 4 "Net-(D1-Pad1)") (pinfunction "DIN") (pintype "input") (tstamp 123169a0-cfb0-4e4f-ba51-75656e78b907)) + (pad "2" smd rect (at 0.475 -0.475) (size 0.55 0.55) (layers "B.Cu" "B.Paste" "B.Mask") + (net 5 "+5V") (pinfunction "VDD") (pintype "power_in") (tstamp 10fef345-1c42-4f12-af3c-061567dc26ce)) + (pad "3" smd rect (at 0.475 0.475) (size 0.55 0.55) (layers "B.Cu" "B.Paste" "B.Mask") + (net 50 "unconnected-(D1-Pad3)") (pinfunction "DOUT") (pintype "output+no_connect") (tstamp 6da745d2-912b-4e99-a370-fc68fb99f7db)) + (pad "4" smd rect (at -0.475 0.475) (size 0.55 0.55) (layers "B.Cu" "B.Paste" "B.Mask") + (net 1 "GND") (pinfunction "VSS") (pintype "power_in") (tstamp b48a3431-3a9d-462a-af07-7926d6e8f12a)) + ) + + (footprint "footprints:SK6805-EC15" (layer "B.Cu") + (tedit 0) (tstamp e154fd15-8c86-443b-94cf-6b701bd14736) + (at 127.72 87.25) + (property "LCSC" "C2890036") + (property "Manufacturer" "OPSCO") + (property "Manufacturer_No" "SK6805-EC20") + (property "Sheetfile" "reform2-trackball2.kicad_sch") + (property "Sheetname" "") + (path "/1065dec1-c49e-42f9-b565-0315086059f5") + (attr smd) + (fp_text reference "D5" (at 0 1.75 unlocked) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 0d01eb39-d474-4717-bbc6-d33ba6864ce7) + ) + (fp_text value "SK6805-EC15" (at 0 -4.7 -180 unlocked) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp d6d1b5d6-26b2-48a1-b61b-4afc6ab4b8f9) + ) + (fp_text user "${REFERENCE}" (at 0 -6.2 -180 unlocked) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp e94f5378-62f6-4653-ad83-57574b01851b) + ) + (fp_rect (start -0.9 0.9) (end 0.9 -0.9) (layer "B.SilkS") (width 0.12) (fill none) (tstamp cd4cac40-298b-4f01-85c8-66f2fbc220ec)) + (fp_circle (center -1.25 -1.2) (end -1.15 -1.3) (layer "B.SilkS") (width 0.12) (fill none) (tstamp 985eff05-099d-4670-a6da-133704831d69)) + (pad "1" smd rect (at -0.475 -0.475) (size 0.55 0.55) (layers "B.Cu" "B.Paste" "B.Mask") + (net 19 "Net-(D2-Pad3)") (pinfunction "DIN") (pintype "input") (tstamp 86382f49-27d5-4929-86a0-3207ad1fcbb2)) + (pad "2" smd rect (at 0.475 -0.475) (size 0.55 0.55) (layers "B.Cu" "B.Paste" "B.Mask") + (net 5 "+5V") (pinfunction "VDD") (pintype "power_in") (tstamp cc5f5335-46d7-415c-acfd-bd64d401f713)) + (pad "3" smd rect (at 0.475 0.475) (size 0.55 0.55) (layers "B.Cu" "B.Paste" "B.Mask") + (net 61 "Net-(D4-Pad1)") (pinfunction "DOUT") (pintype "output") (tstamp 8eb79c29-c1c6-416e-8789-20306a503495)) + (pad "4" smd rect (at -0.475 0.475) (size 0.55 0.55) (layers "B.Cu" "B.Paste" "B.Mask") + (net 1 "GND") (pinfunction "VSS") (pintype "power_in") (tstamp 43a0315d-9899-462c-9c4b-84b54809c349)) + ) + + (gr_line (start 58 218.5) (end 59.4 218.5) (layer "Dwgs.User") (width 0.2) (tstamp 00e78aa5-6782-4b74-b5b7-fe03d44a6fdd)) + (gr_line (start 55.5 218.5) (end 53 218.5) (layer "Dwgs.User") (width 0.2) (tstamp 00f6be2e-53e4-44af-b170-416dca5c3eb0)) + (gr_line (start 55.5 218.5) (end 53 218.5) (layer "Dwgs.User") (width 0.2) (tstamp 04652f21-e36c-4a67-b5fa-de0e10c1e619)) + (gr_line (start 55.5 218.5) (end 58 218.5) (layer "Dwgs.User") (width 0.2) (tstamp 05c29de5-5a05-499b-86cc-d15c25e30d87)) + (gr_line (start 55.5 216) (end 55.5 215.1) (layer "Dwgs.User") (width 0.2) (tstamp 0d00b600-510a-40b2-b1a7-5a9eb9accb99)) + (gr_line (start 55.5 218.5) (end 53 218.5) (layer "Dwgs.User") (width 0.2) (tstamp 0ef03a2f-f1bb-4f87-9dc8-ebebe87da60a)) + (gr_line (start 55.5 218.5) (end 55.5 216) (layer "Dwgs.User") (width 0.2) (tstamp 132e7a11-9647-452a-9ba7-d087237a3aee)) + (gr_line (start 55.5 218.5) (end 53 218.5) (layer "Dwgs.User") (width 0.2) (tstamp 15fd7f16-85d5-45ba-9b2e-e09b13685bb8)) + (gr_line (start 55.5 218.5) (end 53 218.5) (layer "Dwgs.User") (width 0.2) (tstamp 17eb898d-728d-4c4a-b617-7fd21672e339)) + (gr_line (start 53 218.5) (end 51.6 218.5) (layer "Dwgs.User") (width 0.2) (tstamp 1b1892e9-70ee-4fa5-88ce-af9c2a9039c0)) + (gr_line (start 55.5 218.5) (end 55.5 221) (layer "Dwgs.User") (width 0.2) (tstamp 20584426-99af-42f2-8c9c-403d930ccdf8)) + (gr_line (start 55.5 221) (end 55.5 222.4) (layer "Dwgs.User") (width 0.2) (tstamp 2270f34e-0d89-4772-b603-4dbe30fb3d46)) + (gr_line (start 55.5 218.5) (end 53 218.5) (layer "Dwgs.User") (width 0.2) (tstamp 230a830f-8fb5-411c-afaa-e3e2c7be261b)) + (gr_line (start 55.5 218.5) (end 55.5 221) (layer "Dwgs.User") (width 0.2) (tstamp 233c5da7-4ba8-4b15-8cfb-609c3a4547ca)) + (gr_line (start 55.5 218.5) (end 55.5 221) (layer "Dwgs.User") (width 0.2) (tstamp 2446aea8-1ef6-4505-8e91-5a9e5ac3bb48)) + (gr_line (start 53 218.5) (end 52.1 218.5) (layer "Dwgs.User") (width 0.2) (tstamp 249a686e-e4db-4818-9f53-6ebe1ffa8ed0)) + (gr_line (start 58 218.5) (end 58.9 218.5) (layer "Dwgs.User") (width 0.2) (tstamp 26db3b7d-f136-4052-8fb2-fc18263213b9)) + (gr_line (start 55.5 221) (end 55.5 221.9) (layer "Dwgs.User") (width 0.2) (tstamp 272e265f-30f7-4f58-868d-ced8d49ff531)) + (gr_line (start 55.5 223.5) (end 55.5 234) (layer "Dwgs.User") (width 0.2) (tstamp 2877e266-9a54-4082-abc2-d1ce08fe1229)) + (gr_line (start 55.5 218.5) (end 58 218.5) (layer "Dwgs.User") (width 0.2) (tstamp 2a4d022a-132b-4498-9493-d962ae0c45c5)) + (gr_line (start 55.5 221) (end 55.5 222.4) (layer "Dwgs.User") (width 0.2) (tstamp 2b9b5dbd-c2ec-4792-ae4e-8155f24f58c9)) + (gr_line (start 55.5 218.5) (end 58 218.5) (layer "Dwgs.User") (width 0.2) (tstamp 2c48944f-d29b-4df0-9fc4-8a262f0542ec)) + (gr_line (start 55.5 218.5) (end 55.5 221) (layer "Dwgs.User") (width 0.2) (tstamp 2ea462bc-f9f2-40ba-9e7b-4d2be67712f2)) + (gr_line (start 58 218.5) (end 59.4 218.5) (layer "Dwgs.User") (width 0.2) (tstamp 35763779-9a1e-4c73-b4d4-1bfd6be7be54)) + (gr_line (start 53 218.5) (end 52.1 218.5) (layer "Dwgs.User") (width 0.2) (tstamp 3730299e-3eeb-4cbf-9458-6d6aaf4ed3c7)) + (gr_line (start 55.5 216) (end 55.5 214) (layer "Dwgs.User") (width 0.2) (tstamp 38f68b22-55b2-49a6-8271-fbc8b14868cc)) + (gr_line (start 55.5 216) (end 55.5 214) (layer "Dwgs.User") (width 0.2) (tstamp 3901b713-167d-4505-8e6c-48ee8bf3e901)) + (gr_line (start 55.5 218.5) (end 55.5 216) (layer "Dwgs.User") (width 0.2) (tstamp 3a596e5f-4821-4eac-83d5-2c2b8d7a7974)) + (gr_line (start 55.5 218.5) (end 55.5 216) (layer "Dwgs.User") (width 0.2) (tstamp 3c00a504-823c-4fb8-88dd-2c2d26a43e39)) + (gr_line (start 55.5 218.5) (end 53 218.5) (layer "Dwgs.User") (width 0.2) (tstamp 3f258054-1643-42f0-9488-7acf93ddf60d)) + (gr_line (start 55.5 218.5) (end 55.5 216) (layer "Dwgs.User") (width 0.2) (tstamp 3fef38c5-d3a5-42ff-b67c-94baa3eb4282)) + (gr_line (start 55.5 218.5) (end 55.5 221) (layer "Dwgs.User") (width 0.2) (tstamp 405c61be-0990-4ee4-ab16-2e9dc0ddb8ca)) + (gr_line (start 55.5 216) (end 55.5 214) (layer "Dwgs.User") (width 0.2) (tstamp 43b676fa-ea02-4b1c-9742-e3a3ab70e46e)) + (gr_line (start 55.5 221) (end 55.5 223) (layer "Dwgs.User") (width 0.2) (tstamp 440a7e07-64a3-4688-a19e-cf3b50d8542c)) + (gr_line (start 53 218.5) (end 51.6 218.5) (layer "Dwgs.User") (width 0.2) (tstamp 472834cc-fc89-49b5-b971-0a9bf1617dd9)) + (gr_line (start 55.5 218.5) (end 55.5 216) (layer "Dwgs.User") (width 0.2) (tstamp 4d94be62-8eba-4bb4-9662-6112ed6bd04d)) + (gr_line (start 53 218.5) (end 51 218.5) (layer "Dwgs.User") (width 0.2) (tstamp 4f102c8a-6a1d-4010-8c61-a696a3d9f2ac)) + (gr_line (start 55.5 218.5) (end 58 218.5) (layer "Dwgs.User") (width 0.2) (tstamp 57183937-a76f-4fcb-8f29-c41c30bb31c4)) + (gr_line (start 55.5 218.5) (end 55.5 221) (layer "Dwgs.User") (width 0.2) (tstamp 58eba8c5-1e6d-445b-916d-dd3e4c5cdba7)) + (gr_line (start 55.5 218.5) (end 53 218.5) (layer "Dwgs.User") (width 0.2) (tstamp 5a7cdfc0-a458-4426-bb7d-3b1b616205f1)) + (gr_line (start 58 218.5) (end 58.9 218.5) (layer "Dwgs.User") (width 0.2) (tstamp 5f3bce1c-5c55-4949-9ea8-02165879223f)) + (gr_line (start 53 218.5) (end 52.1 218.5) (layer "Dwgs.User") (width 0.2) (tstamp 5f494d86-21fa-4454-9edd-287c44665768)) + (gr_line (start 55.5 221) (end 55.5 221.9) (layer "Dwgs.User") (width 0.2) (tstamp 600312dd-e7e4-4c9b-8a0b-8f432b9204d3)) + (gr_line (start 55.5 216) (end 55.5 214.6) (layer "Dwgs.User") (width 0.2) (tstamp 6639f373-23e6-43b7-bdbe-611769da1016)) + (gr_line (start 55.5 216) (end 55.5 215.1) (layer "Dwgs.User") (width 0.2) (tstamp 663ce7a9-3966-46dc-b859-559f3dddf5fe)) + (gr_line (start 55.5 221) (end 55.5 221.9) (layer "Dwgs.User") (width 0.2) (tstamp 686946b0-d1b3-4790-a647-c02ca0e46f5e)) + (gr_line (start 55.5 218.5) (end 58 218.5) (layer "Dwgs.User") (width 0.2) (tstamp 6b71cb3b-4753-42cb-9d31-f7dc91ea79f5)) + (gr_line (start 55.5 216) (end 55.5 215.1) (layer "Dwgs.User") (width 0.2) (tstamp 6d29fc59-0211-4bc2-ae87-e66e961da29d)) + (gr_line (start 55.5 218.5) (end 55.5 221) (layer "Dwgs.User") (width 0.2) (tstamp 6e46f731-fdbb-4a8c-9134-1f8156e6d53d)) + (gr_line (start 55.5 218.5) (end 55.5 221) (layer "Dwgs.User") (width 0.2) (tstamp 6fbf8046-9aca-4ca8-b711-3d5649fd22d9)) + (gr_line (start 55.5 216) (end 55.5 215.1) (layer "Dwgs.User") (width 0.2) (tstamp 7017377b-ca04-41d5-bad7-a6d16a75cdba)) + (gr_line (start 58 218.5) (end 58.9 218.5) (layer "Dwgs.User") (width 0.2) (tstamp 712ddd04-3326-46c1-8ae5-c821ec47743c)) + (gr_line (start 53 218.5) (end 52.1 218.5) (layer "Dwgs.User") (width 0.2) (tstamp 7262252e-00c1-4858-bcc6-ad27faaa15d9)) + (gr_line (start 55.5 218.5) (end 55.5 221) (layer "Dwgs.User") (width 0.2) (tstamp 73a96b69-f726-44c0-8a34-a82ed8a81f84)) + (gr_line (start 53 218.5) (end 51.6 218.5) (layer "Dwgs.User") (width 0.2) (tstamp 74be9661-0ab5-4ece-8a43-4df3f7278be3)) + (gr_line (start 58 218.5) (end 60 218.5) (layer "Dwgs.User") (width 0.2) (tstamp 75ece594-c966-4733-8377-17bfe2990e5b)) + (gr_line (start 55.5 221) (end 55.5 223) (layer "Dwgs.User") (width 0.2) (tstamp 7b796d94-35a4-44a5-9c8e-9d62aaf80488)) + (gr_line (start 55.5 218.5) (end 55.5 216) (layer "Dwgs.User") (width 0.2) (tstamp 7cf9a154-6d50-46db-9629-32382b43c34a)) + (gr_line (start 55.5 221) (end 55.5 223) (layer "Dwgs.User") (width 0.2) (tstamp 7d762659-e201-4182-b849-58a21afe58b6)) + (gr_line (start 55.5 218.5) (end 58 218.5) (layer "Dwgs.User") (width 0.2) (tstamp 7d8b4c57-c087-4ee5-b9f7-7b15192e70e5)) + (gr_line (start 53 218.5) (end 51 218.5) (layer "Dwgs.User") (width 0.2) (tstamp 7e0e1720-e4e8-420e-bace-b277131bc38e)) + (gr_line (start 55.5 218.5) (end 58 218.5) (layer "Dwgs.User") (width 0.2) (tstamp 7f9e8956-52bf-424c-87da-165bb5469bef)) + (gr_line (start 55.5 218.5) (end 58 218.5) (layer "Dwgs.User") (width 0.2) (tstamp 8091c493-706d-4efc-8ad2-7e5192232d7d)) + (gr_line (start 55.5 218.5) (end 55.5 216) (layer "Dwgs.User") (width 0.2) (tstamp 86e3dd35-31c4-405d-87f4-dcdc22991977)) + (gr_line (start 55.5 218.5) (end 53 218.5) (layer "Dwgs.User") (width 0.2) (tstamp 8a93e836-5668-477a-a2ad-1f71b9362561)) + (gr_line (start 55.5 218.5) (end 58 218.5) (layer "Dwgs.User") (width 0.2) (tstamp 8acc79c2-b882-46c8-8f82-47ecf016dfde)) + (gr_line (start 58 218.5) (end 59.4 218.5) (layer "Dwgs.User") (width 0.2) (tstamp 8bf5a774-5711-42a7-9b17-94a3d5412a9e)) + (gr_line (start 55.5 218.5) (end 53 218.5) (layer "Dwgs.User") (width 0.2) (tstamp 91b3ee75-f825-4071-90c1-7b9abe701e3a)) + (gr_line (start 55.5 218.5) (end 55.5 221) (layer "Dwgs.User") (width 0.2) (tstamp 92e57d17-f66a-4281-bd44-7e1a93a5e9bd)) + (gr_line (start 55.5 218.5) (end 55.5 221) (layer "Dwgs.User") (width 0.2) (tstamp 97981760-4d32-4f0b-8ca8-428381b0a685)) + (gr_line (start 55.5 218.5) (end 55.5 221) (layer "Dwgs.User") (width 0.2) (tstamp 9d4129cc-8431-4b03-954a-bf4c78c36df9)) + (gr_line (start 58 218.5) (end 58.9 218.5) (layer "Dwgs.User") (width 0.2) (tstamp a06485bc-7994-4be5-92b6-c20b9ce22baa)) + (gr_line (start 55.5 221) (end 55.5 222.4) (layer "Dwgs.User") (width 0.2) (tstamp a63e117f-31c6-49f5-a67f-50b6e66d7b86)) + (gr_line (start 55.5 218.5) (end 53 218.5) (layer "Dwgs.User") (width 0.2) (tstamp a7371058-4894-4db2-93c6-906d78d99f77)) + (gr_line (start 55.5 216) (end 55.5 214.6) (layer "Dwgs.User") (width 0.2) (tstamp ab595f5e-7e60-4434-b12a-284bafc9a3c8)) + (gr_line (start 55.5 218.5) (end 55.5 216) (layer "Dwgs.User") (width 0.2) (tstamp b28e722e-f09f-4441-826b-e74a9f78bf05)) + (gr_line (start 55.5 221) (end 55.5 223) (layer "Dwgs.User") (width 0.2) (tstamp b2f32ab0-3f0a-4f72-84a9-c5ba1902a571)) + (gr_line (start 55.5 218.5) (end 58 218.5) (layer "Dwgs.User") (width 0.2) (tstamp b31c88f4-fb2c-47f0-8165-7b9a3d7cfc1c)) + (gr_line (start 58 218.5) (end 60 218.5) (layer "Dwgs.User") (width 0.2) (tstamp bf2736fd-e0d8-42f8-a283-03a005d649a4)) + (gr_line (start 53 218.5) (end 51 218.5) (layer "Dwgs.User") (width 0.2) (tstamp c4a2ae7b-d121-4693-a8de-852d6e542936)) + (gr_line (start 55.5 221) (end 55.5 221.9) (layer "Dwgs.User") (width 0.2) (tstamp c8bd1cd3-db6a-4579-aa72-25b1a268b917)) + (gr_line (start 55.5 218.5) (end 53 218.5) (layer "Dwgs.User") (width 0.2) (tstamp c8fe10dc-8ea5-4cb8-b588-d080d61640d6)) + (gr_line (start 55.5 218.5) (end 55.5 216) (layer "Dwgs.User") (width 0.2) (tstamp c9eb9fb4-f11d-4aef-bcd0-896d11c067d6)) + (gr_line (start 60.5 218.5) (end 71 218.5) (layer "Dwgs.User") (width 0.2) (tstamp ccc8d037-bc0d-4a90-85b3-ed44bdbeb34d)) + (gr_line (start 55.5 218.5) (end 58 218.5) (layer "Dwgs.User") (width 0.2) (tstamp cef7fbb8-1db4-479c-8199-2b603d2e9b5f)) + (gr_line (start 55.5 218.5) (end 55.5 216) (layer "Dwgs.User") (width 0.2) (tstamp d5852a2e-65af-4b87-92bc-a5a479a9f939)) + (gr_line (start 55.5 221) (end 55.5 222.4) (layer "Dwgs.User") (width 0.2) (tstamp d6cc059b-829d-4468-9f49-df7a1d2ff13a)) + (gr_line (start 55.5 218.5) (end 55.5 216) (layer "Dwgs.User") (width 0.2) (tstamp d8cd9e04-9ee4-4ffd-9f06-d64952b5a947)) + (gr_line (start 55.5 216) (end 55.5 214.6) (layer "Dwgs.User") (width 0.2) (tstamp db7b9d29-8f9f-4194-bea6-db13b0cdc4ee)) + (gr_line (start 53 218.5) (end 51.6 218.5) (layer "Dwgs.User") (width 0.2) (tstamp dba68b3c-99a2-4906-aff8-1bb78ed585af)) + (gr_line (start 58 218.5) (end 60 218.5) (layer "Dwgs.User") (width 0.2) (tstamp dd1b7454-7fa7-4f56-a1b9-44192b447280)) + (gr_line (start 55.5 218.5) (end 58 218.5) (layer "Dwgs.User") (width 0.2) (tstamp df74ebe0-3168-4e87-a272-042f59662e9c)) + (gr_line (start 55.5 213.5) (end 55.5 203) (layer "Dwgs.User") (width 0.2) (tstamp e06e97f0-f89a-450f-87b7-bd0e76605f41)) + (gr_line (start 50.5 218.5) (end 40 218.5) (layer "Dwgs.User") (width 0.2) (tstamp e2bfacc5-585d-4164-bae1-f5c613363184)) + (gr_line (start 55.5 218.5) (end 58 218.5) (layer "Dwgs.User") (width 0.2) (tstamp e5e6483c-4dd4-40ea-9deb-48d30ae0e607)) + (gr_line (start 55.5 218.5) (end 53 218.5) (layer "Dwgs.User") (width 0.2) (tstamp e96f367d-6f29-4a15-bea4-ac82f82f1bb6)) + (gr_line (start 55.5 216) (end 55.5 214) (layer "Dwgs.User") (width 0.2) (tstamp ed0518fe-36db-498c-863d-b1060396ef9c)) + (gr_line (start 55.5 218.5) (end 55.5 216) (layer "Dwgs.User") (width 0.2) (tstamp ef652257-7163-4b02-9550-942d112d65fe)) + (gr_line (start 55.5 218.5) (end 55.5 216) (layer "Dwgs.User") (width 0.2) (tstamp effe6b9c-b747-4f05-b740-3be23670c9ea)) + (gr_line (start 58 218.5) (end 60 218.5) (layer "Dwgs.User") (width 0.2) (tstamp f44fbc5e-f6dc-4442-83bf-44f89580bc99)) + (gr_line (start 58 218.5) (end 59.4 218.5) (layer "Dwgs.User") (width 0.2) (tstamp f6004380-b9a2-4882-8b4b-b37080e2cffb)) + (gr_line (start 55.5 218.5) (end 55.5 221) (layer "Dwgs.User") (width 0.2) (tstamp fc2c7455-9b9a-43e0-bcf1-2cef89d98f0d)) + (gr_line (start 55.5 216) (end 55.5 214.6) (layer "Dwgs.User") (width 0.2) (tstamp fe48445b-f49a-445a-a9d2-444d33312dd0)) + (gr_line (start 53 218.5) (end 51 218.5) (layer "Dwgs.User") (width 0.2) (tstamp ff36b893-794e-49a9-a4e9-376bdd87f625)) + (gr_line (start 135.45 52.3) (end 135.75 52) (layer "Edge.Cuts") (width 0.1) (tstamp 00000000-0000-0000-0000-00005d9a03f6)) + (gr_line (start 165.65 52) (end 165.95 52.3) (layer "Edge.Cuts") (width 0.1) (tstamp 00000000-0000-0000-0000-00005d9a0405)) + (gr_line (start 165.95 82.15) (end 165.65 82.45) (layer "Edge.Cuts") (width 0.1) (tstamp 00000000-0000-0000-0000-00005d9a041a)) + (gr_line (start 135.45 82.15) (end 135.75 82.45) (layer "Edge.Cuts") (width 0.1) (tstamp 00000000-0000-0000-0000-00005d9a0427)) + (gr_line (start 107.6 50.1) (end 107.1 50.6) (layer "Edge.Cuts") (width 0.1) (tstamp 00000000-0000-0000-0000-00005d9a05ec)) + (gr_line (start 193.8 50.1) (end 194.3 50.6) (layer "Edge.Cuts") (width 0.1) (tstamp 00000000-0000-0000-0000-00005d9a0605)) + (gr_line (start 107.1 108.6) (end 107.1 50.6) (layer "Edge.Cuts") (width 0.1) (tstamp 00000000-0000-0000-0000-00005f565d73)) + (gr_line (start 194.3 108.6) (end 193.8 109.1) (layer "Edge.Cuts") (width 0.1) (tstamp 00000000-0000-0000-0000-00005f565fbd)) + (gr_line (start 165.65 52) (end 135.75 52) (layer "Edge.Cuts") (width 0.1) (tstamp 0fc92961-6e51-49df-b0eb-dd1791483003)) + (gr_line (start 107.6 50.1) (end 193.8 50.1) (layer "Edge.Cuts") (width 0.1) (tstamp 29ac1928-c636-43be-a6d3-84a3537a5c02)) + (gr_line (start 194.3 50.6) (end 194.3 108.6) (layer "Edge.Cuts") (width 0.1) (tstamp 62012f66-6968-4d26-b0fd-9cb0c1d610c1)) + (gr_line (start 135.45 82.15) (end 135.45 52.3) (layer "Edge.Cuts") (width 0.1) (tstamp 7fa4ebc7-88e0-4d5a-9e69-c99e8f3e087d)) + (gr_line (start 107.1 108.6) (end 107.6 109.1) (layer "Edge.Cuts") (width 0.1) (tstamp 8153b5e9-0b87-4605-9545-9a3ae7335e7b)) + (gr_line (start 193.8 109.1) (end 107.6 109.1) (layer "Edge.Cuts") (width 0.1) (tstamp 896f2b8d-6b61-4220-85df-2e717c07ec85)) + (gr_line (start 165.95 52.3) (end 165.95 82.15) (layer "Edge.Cuts") (width 0.1) (tstamp a8ed070c-c9ab-4dc2-a342-bcf8e77ef845)) + (gr_line (start 165.65 82.45) (end 135.75 82.45) (layer "Edge.Cuts") (width 0.1) (tstamp e722c6f9-6b3e-4f56-b384-f7d3e782b35b)) + (gr_text "RST" (at 134.5 107.5) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-00005b606888) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text "HWB" (at 123 107.5) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-00005b606ae1) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text "GND" (at 148.15 100) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-00005d9a8669) + (effects (font (size 0.5 0.5) (thickness 0.1)) (justify left)) + ) + (gr_text "SCL" (at 151.1 100.35 90) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-00005e545c80) + (effects (font (size 0.5 0.5) (thickness 0.1)) (justify left)) + ) + (gr_text "SDA" (at 150.35 100.35 90) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-00005e545c84) + (effects (font (size 0.5 0.5) (thickness 0.1)) (justify left)) + ) + (gr_text "3V3" (at 151.75 100) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-00005e546161) + (effects (font (size 0.5 0.5) (thickness 0.1)) (justify left)) + ) + (dimension (type aligned) (layer "Dwgs.User") (tstamp 17f4b3f3-bbc5-48fb-8c1b-738132bf9db0) + (pts (xy 194.3 73) (xy 165.95 73)) + (height -38.9) + (gr_text "28.3500 mm" (at 180.125 110.75) (layer "Dwgs.User") (tstamp 17f4b3f3-bbc5-48fb-8c1b-738132bf9db0) + (effects (font (size 1 1) (thickness 0.15))) + ) + (format (units 2) (units_format 1) (precision 4)) + (style (thickness 0.15) (arrow_length 1.27) (text_position_mode 0) (extension_height 0.58642) (extension_offset 0) keep_text_aligned) + ) + (dimension (type aligned) (layer "Dwgs.User") (tstamp 1e076a4b-2a72-46c4-9587-553bf7831f49) + (pts (xy 113.08 50.1) (xy 113.08 52.96)) + (height 7.83) + (gr_text "2.8600 mm" (at 104.1 51.53 90) (layer "Dwgs.User") (tstamp 1e076a4b-2a72-46c4-9587-553bf7831f49) + (effects (font (size 1 1) (thickness 0.15))) + ) + (format (units 2) (units_format 1) (precision 4)) + (style (thickness 0.15) (arrow_length 1.27) (text_position_mode 0) (extension_height 0.58642) (extension_offset 0) keep_text_aligned) + ) + (dimension (type aligned) (layer "Dwgs.User") (tstamp 59f2023d-05ee-485f-8636-aa4a4d4b9c58) + (pts (xy 191.3 52.7) (xy 194.3 52.7)) + (height -4.6) + (gr_text "3.0000 mm" (at 192.8 46.95) (layer "Dwgs.User") (tstamp 59f2023d-05ee-485f-8636-aa4a4d4b9c58) + (effects (font (size 1 1) (thickness 0.15))) + ) + (format (units 2) (units_format 1) (precision 4)) + (style (thickness 0.15) (arrow_length 1.27) (text_position_mode 0) (extension_height 0.58642) (extension_offset 0) keep_text_aligned) + ) + (dimension (type aligned) (layer "Dwgs.User") (tstamp 5af793f8-8307-4891-b503-2bab124f17a6) + (pts (xy 194.3 73.45) (xy 107.1 73.45)) + (height 32.9) + (gr_text "87.2000 mm" (at 150.7 39.4) (layer "Dwgs.User") (tstamp 5af793f8-8307-4891-b503-2bab124f17a6) + (effects (font (size 1 1) (thickness 0.15))) + ) + (format (units 2) (units_format 1) (precision 4)) + (style (thickness 0.15) (arrow_length 1.27) (text_position_mode 0) (extension_height 0.58642) (extension_offset 0) keep_text_aligned) + ) + (dimension (type aligned) (layer "Dwgs.User") (tstamp 69128327-9c11-4c46-b7a9-8e721d8cf286) + (pts (xy 107.1 73.45) (xy 135.45 73.45)) + (height 38.35) + (gr_text "28.3500 mm" (at 121.275 110.65) (layer "Dwgs.User") (tstamp 69128327-9c11-4c46-b7a9-8e721d8cf286) + (effects (font (size 1 1) (thickness 0.15))) + ) + (format (units 2) (units_format 1) (precision 4)) + (style (thickness 0.15) (arrow_length 1.27) (text_position_mode 0) (extension_height 0.58642) (extension_offset 0) keep_text_aligned) + ) + (dimension (type aligned) (layer "Dwgs.User") (tstamp 940bcaca-83cb-43a1-9585-89e67e7ff6fe) + (pts (xy 193.8 109.1) (xy 193.8 50.1)) + (height 9.15) + (gr_text "59.0000 mm" (at 201.8 79.6 90) (layer "Dwgs.User") (tstamp 940bcaca-83cb-43a1-9585-89e67e7ff6fe) + (effects (font (size 1 1) (thickness 0.15))) + ) + (format (units 2) (units_format 1) (precision 4)) + (style (thickness 0.15) (arrow_length 1.27) (text_position_mode 0) (extension_height 0.58642) (extension_offset 0) keep_text_aligned) + ) + (dimension (type aligned) (layer "Dwgs.User") (tstamp 9502d48b-1b3b-4fff-8554-f779ee2a7ed0) + (pts (xy 110.05 109.1) (xy 110.05 106.2)) + (height -5.15) + (gr_text "2.9000 mm" (at 103.75 107.65 90) (layer "Dwgs.User") (tstamp 9502d48b-1b3b-4fff-8554-f779ee2a7ed0) + (effects (font (size 1 1) (thickness 0.15))) + ) + (format (units 2) (units_format 1) (precision 4)) + (style (thickness 0.15) (arrow_length 1.27) (text_position_mode 0) (extension_height 0.58642) (extension_offset 0) keep_text_aligned) + ) + (dimension (type aligned) (layer "Dwgs.User") (tstamp a607cdcb-7692-462d-89ac-9d5e4cd87198) + (pts (xy 158.7 82.4) (xy 158.7 52)) + (height 2.4) + (gr_text "30.4000 mm" (at 159.95 67.2 90) (layer "Dwgs.User") (tstamp a607cdcb-7692-462d-89ac-9d5e4cd87198) + (effects (font (size 1 1) (thickness 0.15))) + ) + (format (units 2) (units_format 1) (precision 4)) + (style (thickness 0.15) (arrow_length 1.27) (text_position_mode 0) (extension_height 0.58642) (extension_offset 0) keep_text_aligned) + ) + (dimension (type aligned) (layer "Dwgs.User") (tstamp aa4a4200-1f73-405f-af11-13aee81d65da) + (pts (xy 126.01 50.1) (xy 126.01 67.24)) + (height 0.76) + (gr_text "17.1400 mm" (at 124.1 58.67 90) (layer "Dwgs.User") (tstamp aa4a4200-1f73-405f-af11-13aee81d65da) + (effects (font (size 1 1) (thickness 0.15))) + ) + (format (units 2) (units_format 1) (precision 4)) + (style (thickness 0.15) (arrow_length 1.27) (text_position_mode 0) (extension_height 0.58642) (extension_offset 0) keep_text_aligned) + ) + (dimension (type aligned) (layer "Dwgs.User") (tstamp c9d4c69d-9a7f-41f4-a459-2eb91f181ef5) + (pts (xy 110.1 52.95) (xy 107.1 52.95)) + (height 4.7) + (gr_text "3.0000 mm" (at 108.6 47.1) (layer "Dwgs.User") (tstamp c9d4c69d-9a7f-41f4-a459-2eb91f181ef5) + (effects (font (size 1 1) (thickness 0.15))) + ) + (format (units 2) (units_format 1) (precision 4)) + (style (thickness 0.15) (arrow_length 1.27) (text_position_mode 0) (extension_height 0.58642) (extension_offset 0) keep_text_aligned) + ) + (dimension (type aligned) (layer "Dwgs.User") (tstamp f13c3459-618d-41e7-9509-720310d1624e) + (pts (xy 126.01 67.24) (xy 126 62.55)) + (height 3.59562) + (gr_text "4.6900 mm" (at 130.750609 64.884881 -89.87783434) (layer "Dwgs.User") (tstamp f13c3459-618d-41e7-9509-720310d1624e) + (effects (font (size 1 1) (thickness 0.15))) + ) + (format (units 3) (units_format 1) (precision 4)) + (style (thickness 0.1) (arrow_length 1.27) (text_position_mode 0) (extension_height 0.58642) (extension_offset 0.5) keep_text_aligned) + ) + (dimension (type aligned) (layer "Dwgs.User") (tstamp f20b4d70-ed73-41d1-86b0-303084542c4b) + (pts (xy 165.95 64.9) (xy 135.45 64.9)) + (height -2.299999) + (gr_text "30.5000 mm" (at 150.7 66.049999) (layer "Dwgs.User") (tstamp f20b4d70-ed73-41d1-86b0-303084542c4b) + (effects (font (size 1 1) (thickness 0.15))) + ) + (format (units 2) (units_format 1) (precision 4)) + (style (thickness 0.15) (arrow_length 1.27) (text_position_mode 0) (extension_height 0.58642) (extension_offset 0) keep_text_aligned) + ) + + (segment (start 118.9 92.425) (end 120.13 92.425) (width 0.4) (layer "F.Cu") (net 1) (tstamp 0ca9a823-fb30-4032-956b-7546b11d4d97)) + (segment (start 125.380001 54.224999) (end 125.380001 55.930001) (width 0.4) (layer "F.Cu") (net 1) (tstamp 0cd2f4d2-37ec-4f07-9aa2-4943d5103fd6)) + (segment (start 114.03 73.03) (end 114 73) (width 0.3) (layer "F.Cu") (net 1) (tstamp 153191d5-aba5-43c3-abb4-648caac24acf)) + (segment (start 136.925 105.1) (end 132.075 105.1) (width 0.4) (layer "F.Cu") (net 1) (tstamp 1a46618f-8820-4e15-acc2-209df34e7d76)) + (segment (start 122.9125 56) (end 122.2 55.2875) (width 0.4) (layer "F.Cu") (net 1) (tstamp 1f6618c5-ffcd-47f4-8274-662e61afeabc)) + (segment (start 125.380001 55.930001) (end 125.310002 56) (width 0.4) (layer "F.Cu") (net 1) (tstamp 2a175d90-c2e3-4265-8265-edc196d95b19)) + (segment (start 112.75 87.75) (end 112 88.5) (width 0.2) (layer "F.Cu") (net 1) (tstamp 37770930-2584-40d0-833c-acc814c8c479)) + (segment (start 149.5 101.15) (end 149.5 100.4) (width 0.2) (layer "F.Cu") (net 1) (tstamp 3ed70a7a-2497-400b-b16c-7b8189e69672)) + (segment (start 118.255 93.07) (end 118.9 92.425) (width 0.4) (layer "F.Cu") (net 1) (tstamp 42aaf8bc-2e7d-473f-8a14-61cb9be9f1a8)) + (segment (start 111 72.6) (end 112.5 71.1) (width 0.3) (layer "F.Cu") (net 1) (tstamp 4902a4d6-34ce-466a-8e6c-78b40b69b34f)) + (segment (start 123.7 71.7) (end 123.7 72.975) (width 0.4) (layer "F.Cu") (net 1) (tstamp 544070ec-e4f6-4976-be18-3af9583abadd)) + (segment (start 111 79.125) (end 111 72.6) (width 0.3) (layer "F.Cu") (net 1) (tstamp 665b3d64-d220-4d53-821b-5c6e29fda36b)) + (segment (start 109.6 84.625) (end 109.6 80.3) (width 0.3) (layer "F.Cu") (net 1) (tstamp 668f3511-1695-4ab1-82d5-6fcbb36cf3c5)) + (segment (start 114.9 71.1) (end 115.8 72) (width 0.3) (layer "F.Cu") (net 1) (tstamp 6b6b8837-1619-474b-aed0-02535f4202f6)) + (segment (start 114.03 74.505) (end 114.03 73.03) (width 0.3) (layer "F.Cu") (net 1) (tstamp 6b8c57e5-c2a8-4671-83d3-3043ac227fef)) + (segment (start 115.75 72.45) (end 115.8 72.4) (width 0.4) (layer "F.Cu") (net 1) (tstamp 6d4f4898-ff72-46d4-904b-e1b188d24935)) + (segment (start 116.02 62.3) (end 115.85 62.47) (width 0.4) (layer "F.Cu") (net 1) (tstamp 6e287ec1-f570-4634-a31a-29e810864e70)) + (segment (start 148.6 100.1) (end 148.4 100.3) (width 0.2) (layer "F.Cu") (net 1) (tstamp 6ff26085-257e-4f1b-ad3a-3b1f06a602a4)) + (segment (start 120.6 72.3) (end 120.6 73.465) (width 0.4) (layer "F.Cu") (net 1) (tstamp 7188c0ca-e9e1-422f-82fe-0df02584e05c)) + (segment (start 120.13 92.425) (end 120.43 92.125) (width 0.4) (layer "F.Cu") (net 1) (tstamp 71d5c47a-3399-4a18-a952-cbc78c16dc59)) + (segment (start 125.310002 56) (end 122.9125 56) (width 0.4) (layer "F.Cu") (net 1) (tstamp 8a197a3e-8af1-4fbd-a9a7-4fbb63ac1cd4)) + (segment (start 115.47 95.27) (end 115.47 96.845) (width 0.4) (layer "F.Cu") (net 1) (tstamp 8ddc0b6f-ed2d-466f-b2b0-d2466ed17f8e)) + (segment (start 112.5 71.1) (end 114.9 71.1) (width 0.3) (layer "F.Cu") (net 1) (tstamp 9e36900f-4367-48b6-9df9-6bc742156a8e)) + (segment (start 149.2 100.1) (end 148.6 100.1) (width 0.2) (layer "F.Cu") (net 1) (tstamp a9b0b6e6-6649-415d-82ec-e8c13565febc)) + (segment (start 117.5 73.475) (end 117.5 72.4) (width 0.4) (layer "F.Cu") (net 1) (tstamp ad1969e6-084f-456c-ae9c-608fb33135a1)) + (segment (start 111.19806 87) (end 111.19806 86.22306) (width 0.4) (layer "F.Cu") (net 1) (tstamp b47294a2-261f-4b9e-8487-431c346856cd)) + (segment (start 125.155002 54) (end 125.380001 54.224999) (width 0.4) (layer "F.Cu") (net 1) (tstamp b6a15c6a-d4a3-4727-8186-726b351c25c8)) + (segment (start 112.75 87.75) (end 115.984994 87.75) (width 0.2) (layer "F.Cu") (net 1) (tstamp c20516cd-db7f-4f3d-99bb-ae89c2b96a67)) + (segment (start 117.075 91.25) (end 117.075 92.975) (width 0.4) (layer "F.Cu") (net 1) (tstamp c5484723-b129-4cd4-bfa3-a70c16c87676)) + (segment (start 117.17 93.07) (end 118.255 93.07) (width 0.4) (layer "F.Cu") (net 1) (tstamp c6ddb64f-f654-4fc5-8c34-32862c2a434a)) + (segment (start 111 86.025) (end 109.6 84.625) (width 0.3) (layer "F.Cu") (net 1) (tstamp c7a1f220-f56d-43c8-a38a-59b07c31cb93)) + (segment (start 115.8 72) (end 115.8 72.4) (width 0.3) (layer "F.Cu") (net 1) (tstamp c98c73aa-90b9-4561-8970-70778e996169)) + (segment (start 123.725 73) (end 123.725 78.95) (width 0.4) (layer "F.Cu") (net 1) (tstamp ca92c552-b179-409e-9663-d3eda04f86e2)) + (segment (start 117.075 92.975) (end 117.17 93.07) (width 0.4) (layer "F.Cu") (net 1) (tstamp d1d0e364-fe6b-4781-adf7-c05399cb4d8c)) + (segment (start 115.984994 87.75) (end 116.4 87.334994) (width 0.2) (layer "F.Cu") (net 1) (tstamp d26dc258-a6e0-4195-8275-6378e01ef9ae)) + (segment (start 149.5 100.4) (end 149.2 100.1) (width 0.2) (layer "F.Cu") (net 1) (tstamp d3b83533-9519-41f2-b5b3-e996dd7f954c)) + (segment (start 116.95 62.3) (end 116.02 62.3) (width 0.4) (layer "F.Cu") (net 1) (tstamp d7ae1af0-5f68-48f0-9da6-a9c6efff9625)) + (segment (start 109.6 80.3) (end 110.775 79.125) (width 0.3) (layer "F.Cu") (net 1) (tstamp e0107a7e-c05e-488e-be1f-681c21392c23)) + (segment (start 115.85 62.47) (end 115.85 64.6) (width 0.4) (layer "F.Cu") (net 1) (tstamp e0d2c14f-780e-4db9-80a9-5b7108bf4c68)) + (segment (start 116.4 86.7375) (end 116.4 87.334994) (width 0.2) (layer "F.Cu") (net 1) (tstamp e312b235-fa9d-4146-a238-9cc6d5599ffa)) + (segment (start 108.99 88.035) (end 111 86.025) (width 0.3) (layer "F.Cu") (net 1) (tstamp e623e40f-77ed-4cd6-9c75-bda253565b67)) + (segment (start 124.35 54) (end 125.155002 54) (width 0.4) (layer "F.Cu") (net 1) (tstamp e77e1902-bb86-4f67-9e98-e07b9333f8bb)) + (segment (start 115.75 73.875) (end 115.75 72.45) (width 0.4) (layer "F.Cu") (net 1) (tstamp f15f6886-8fd9-490a-ad04-bbd7f126062c)) + (via (at 119.5 53.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005f5809bf)) + (via (at 112.5 59.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005f5809c1)) + (via (at 112.5 56) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005f5809c3)) + (via (at 115.5 52) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005f5809d4)) + (via (at 120.8 55.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005f580e2b)) + (via (at 119.3 58.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005f580e2d)) + (via (at 131 58) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005f580e71)) + (via (at 118.9 94) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00b4802c-f33b-429c-b533-4c494820d757)) + (via (at 127.6 56.4) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 048b9a15-e903-4b9f-ad4f-8c38df5c84d6)) + (via (at 115.85 64.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 09e5fdce-3a16-449a-8977-acef39d295bd)) + (via (at 111.19806 87) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 0c2b55a5-f798-437a-8e5f-c22e20c61ec2)) + (via (at 122.3 96.2) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 1f9478a2-b9af-42be-9084-8cc42d1d2ad1)) + (via (at 109.85 72.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 2165a666-553f-4393-ad3b-ebc5635aab8c)) + (via (at 156.55 104.7) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 38145084-6ccd-432c-8b83-d2c0f566a7bb)) + (via (at 114.4 101.2) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 40a72a43-b2d9-4e5d-93f5-425b621e44d9)) + (via (at 117.5 72.4) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 4c9d6b40-2e09-4002-8eac-c2dcb8250b30)) + (via (at 141.15 107.8) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 506084ba-51ca-461d-b576-d3748c5d4a74)) + (via (at 121.8 64.8) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 5a452eb6-4761-4d42-b285-317288f89793)) + (via (at 121 60.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 5b25ad64-0392-48e6-9ae0-42dcda91c94a)) + (via (at 123.7 71.7) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 5f184b1c-d367-4d75-b3a1-f5c123006a85)) + (via (at 114 73) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 5fb007b9-27ac-4304-b0f5-c170a4069e55)) + (via (at 120.6 72.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 66de4073-dccc-4213-bb02-48c3ecf83572)) + (via (at 122.2 94) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 84096830-7c8f-4431-aa72-082064628f89)) + (via (at 156.55 101.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 95cd98ea-bbfb-419c-a11b-2c81c03a6d2b)) + (via (at 112 88.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp bf9b76df-af84-4b6e-b8cc-2ebacb939811)) + (via (at 109.85 75.8) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp c6a74b6e-5628-4a59-819e-4927741eac0a)) + (via (at 148.4 100.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp cb0f4419-1667-446e-a560-cdc94436e707)) + (via (at 112.9 93.7) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp ce05ea80-c06d-4659-b68e-0bd9adadc93f)) + (via (at 145.05 107.8) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp ce424584-e3c3-41bf-b4f0-4f7aa22b0301)) + (via (at 115.8 72.4) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp d7bc0593-25fa-4647-a05e-d12718929e89)) + (via (at 111.25 70.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp f7c3845e-b37d-4a3f-985f-1c94f48c439d)) + (segment (start 116.125 84.575) (end 116.125 82.025) (width 0.4) (layer "B.Cu") (net 1) (tstamp 11450fe9-c078-4d16-aa45-b5b892ba8fce)) + (segment (start 117.4 84.575) (end 117.4 82.025) (width 0.4) (layer "B.Cu") (net 1) (tstamp 152b12d1-1fb5-4cca-b9ea-011ac5a7cd96)) + (segment (start 120.5 72.4) (end 120.6 72.3) (width 0.4) (layer "B.Cu") (net 1) (tstamp 20a4eef2-97e7-408e-9aa7-a8c25a90a0d3)) + (segment (start 118.675 74.225) (end 120.6 72.3) (width 0.4) (layer "B.Cu") (net 1) (tstamp 2ed42587-e463-4943-8c9e-54fb42cb9a33)) + (segment (start 118.675 82.025) (end 118.675 74.225) (width 0.4) (layer "B.Cu") (net 1) (tstamp 38fc172d-ab8e-473e-a742-b45b2cd81061)) + (segment (start 118.675 82.025) (end 118.675 84.575) (width 0.4) (layer "B.Cu") (net 1) (tstamp 3f449279-4c2f-444a-b937-3a0184f7ec63)) + (segment (start 118.9 94) (end 118.9 91.5) (width 0.4) (layer "B.Cu") (net 1) (tstamp 40ba369b-c1f3-44ba-8d15-a94762d89126)) + (segment (start 123.1 72.3) (end 123.7 71.7) (width 0.4) (layer "B.Cu") (net 1) (tstamp 42e9f5c9-94ca-4ff4-9b95-b1b28106e852)) + (segment (start 116.125 82.025) (end 118.675 82.025) (width 0.4) (layer "B.Cu") (net 1) (tstamp 4922ba85-81de-4228-86af-110b122d4c97)) + (segment (start 117.5 72.4) (end 120.5 72.4) (width 0.4) (layer "B.Cu") (net 1) (tstamp 4d875733-b703-4c69-93c3-0285bf8d1ba1)) + (segment (start 117.4 84.575) (end 116.125 84.575) (width 0.4) (layer "B.Cu") (net 1) (tstamp 4f8edd6c-9da8-4631-91bc-b9b0484441b0)) + (segment (start 118.675 83.3) (end 116.125 83.3) (width 0.4) (layer "B.Cu") (net 1) (tstamp 59ebb6ee-6920-4d4d-a834-21eb841a29c5)) + (segment (start 115.8 72.4) (end 117.5 72.4) (width 0.4) (layer "B.Cu") (net 1) (tstamp 6aeae8e1-e80e-4eab-b5f3-4e63c34b8f4a)) + (segment (start 118.675 84.575) (end 117.4 84.575) (width 0.4) (layer "B.Cu") (net 1) (tstamp 7b465316-dd97-44fd-915d-93d276503b2f)) + (segment (start 112 88.5) (end 112 87.80194) (width 0.2) (layer "B.Cu") (net 1) (tstamp 84e9b2d8-c864-4ae6-aaf0-9e62c8b9f417)) + (segment (start 116.125 82.025) (end 118.675 84.575) (width 0.4) (layer "B.Cu") (net 1) (tstamp 94b02aa6-86cd-4ee4-8ed6-1af4287b9899)) + (segment (start 118.675 82.025) (end 116.125 84.575) (width 0.4) (layer "B.Cu") (net 1) (tstamp b3ecda01-e479-469d-a89b-094f152b7fc5)) + (segment (start 114.6 72.4) (end 115.8 72.4) (width 0.4) (layer "B.Cu") (net 1) (tstamp cd88ceef-29a1-4c77-8338-7385da0504f2)) + (segment (start 120.6 72.3) (end 123.1 72.3) (width 0.4) (layer "B.Cu") (net 1) (tstamp dcd010dc-2e6e-45ac-b229-f41f3ad7a637)) + (segment (start 112 87.80194) (end 111.19806 87) (width 0.2) (layer "B.Cu") (net 1) (tstamp e704ee02-a480-4e5e-8b46-6e2fd10abb51)) + (segment (start 118.9 91.5) (end 117.4 90) (width 0.4) (layer "B.Cu") (net 1) (tstamp e8927461-223b-4320-b0fd-b61fd468a537)) + (segment (start 117.4 90) (end 117.4 84.575) (width 0.4) (layer "B.Cu") (net 1) (tstamp f4279207-223f-4250-92ce-291f07136bee)) + (segment (start 114 73) (end 114.6 72.4) (width 0.4) (layer "B.Cu") (net 1) (tstamp f9ddf985-ade9-4253-829f-ea820c9cf2db)) + (segment (start 119.2 79.8625) (end 119.2 76.415) (width 0.2) (layer "F.Cu") (net 2) (tstamp 0ceff678-fdd5-4844-b0e6-b9747faf23be)) + (segment (start 119.7 81.5) (end 119.2 81) (width 0.2) (layer "F.Cu") (net 2) (tstamp 131d13e1-c476-4a0a-a1e9-7e80235eada2)) + (segment (start 119 81.1) (end 119.2 80.9) (width 0.2) (layer "F.Cu") (net 2) (tstamp 1a513988-6d61-4c8a-a30d-f95f1c87c25e)) + (segment (start 119.2 80.9) (end 119.2 79.8625) (width 0.2) (layer "F.Cu") (net 2) (tstamp 39c683ad-79d1-44e2-bd48-b3a0e85cb345)) + (segment (start 117.2 77.2) (end 116.5 76.5) (width 0.2) (layer "F.Cu") (net 2) (tstamp 57e8650d-a902-4b9a-be32-2758a1958890)) + (segment (start 117.2 80.9) (end 117.4 81.1) (width 0.2) (layer "F.Cu") (net 2) (tstamp 68024152-680b-4806-9507-d0f202cce999)) + (segment (start 117.2 79.8625) (end 117.2 77.2) (width 0.2) (layer "F.Cu") (net 2) (tstamp 75848877-3222-4a79-8c2a-0fb2f961db47)) + (segment (start 118 85.8) (end 118.2 85.6) (width 0.2) (layer "F.Cu") (net 2) (tstamp 87bdfae6-74fe-413f-a7cd-88ff77f69496)) + (segment (start 119.7 85.2) (end 119.7 81.5) (width 0.2) (layer "F.Cu") (net 2) (tstamp 987e0314-1d63-4a96-91c5-40c75dc82d60)) + (segment (start 120.43 90.45503) (end 118 88.02503) (width 0.2) (layer "F.Cu") (net 2) (tstamp 9bedb7d1-2f0f-4b0f-9cf4-4b9b853e6c2a)) + (segment (start 117.2 79.8625) (end 117.2 80.9) (width 0.2) (layer "F.Cu") (net 2) (tstamp 9ca41297-6da6-43df-8165-0e9e4210cb61)) + (segment (start 119.2 81) (end 119.2 80.9) (width 0.2) (layer "F.Cu") (net 2) (tstamp a3a47571-a249-4b68-ae14-b8e7d54465f2)) + (segment (start 116.5 76.5) (end 114.475 76.5) (width 0.2) (layer "F.Cu") (net 2) (tstamp a75140eb-6b11-4197-81a1-c26f384e2085)) + (segment (start 119.3 85.6) (end 119.7 85.2) (width 0.2) (layer "F.Cu") (net 2) (tstamp a9940410-4423-4e80-8ac9-5771da129e26)) + (segment (start 114.475 76.5) (end 114.03 76.055) (width 0.2) (layer "F.Cu") (net 2) (tstamp c0760dda-78a3-496c-aebf-96b6c365c809)) + (segment (start 118 88.02503) (end 118 86.7375) (width 0.2) (layer "F.Cu") (net 2) (tstamp ca752937-03be-4c41-b981-3033d7396485)) + (segment (start 118 86.7375) (end 118 85.8) (width 0.2) (layer "F.Cu") (net 2) (tstamp daa29df9-fda9-4c34-a4c6-79c0f9e83778)) + (segment (start 117.4 81.1) (end 119 81.1) (width 0.2) (layer "F.Cu") (net 2) (tstamp f72cc6fa-abd3-4b02-ac92-4e47d70b8b21)) + (segment (start 119.2 76.415) (end 120.54 75.075) (width 0.2) (layer "F.Cu") (net 2) (tstamp fc4575c7-1211-4a6e-9b0c-bd311d6a5db5)) + (segment (start 118.2 85.6) (end 119.3 85.6) (width 0.2) (layer "F.Cu") (net 2) (tstamp ff872882-1ac1-4504-a40d-a87372afea7f)) + (segment (start 115.585 91.25) (end 115.585 92.955) (width 0.2) (layer "F.Cu") (net 3) (tstamp 19558c8b-51e7-4b32-8c76-1c0fe978f5aa)) + (segment (start 115.485 89.7) (end 115.485 91.21) (width 0.3) (layer "F.Cu") (net 3) (tstamp 44eba698-6130-4db5-b131-73de269ea0f4)) + (segment (start 115.485 91.21) (end 115.525 91.25) (width 0.3) (layer "F.Cu") (net 3) (tstamp f07c69f8-3d4c-4daf-9dc8-19b77e4c9236)) + (segment (start 174.975 87.725) (end 174.185 87.725) (width 0.3) (layer "B.Cu") (net 4) (tstamp 29800aa6-194a-4c24-a3ac-0319d6c37843)) + (segment (start 172.6 82.1) (end 175.2 84.7) (width 0.3) (layer "B.Cu") (net 4) (tstamp 393d0766-7793-4be0-a1b9-2db8a2da4466)) + (segment (start 174.945 62.075) (end 173.925 62.075) (width 0.3) (layer "B.Cu") (net 4) (tstamp 3dd90f57-b2b1-43c1-b967-99395347f6a6)) + (segment (start 175.2 84.7) (end 175.2 87.5) (width 0.3) (layer "B.Cu") (net 4) (tstamp 43b9a4ee-1c33-4619-90ac-abe8f4252888)) + (segment (start 173.925 62.075) (end 172.6 63.4) (width 0.3) (layer "B.Cu") (net 4) (tstamp 8aab5617-9561-4263-a5b5-dcba863d8746)) + (segment (start 175.2 87.5) (end 174.975 87.725) (width 0.3) (layer "B.Cu") (net 4) (tstamp b2ad9fdc-5091-486c-b67a-a1c9d2180650)) + (segment (start 172.6 63.4) (end 172.6 82.1) (width 0.3) (layer "B.Cu") (net 4) (tstamp dafeadc7-fa7f-449e-9f2f-6ca72ad54b28)) + (segment (start 129.6 86.6) (end 152 86.6) (width 0.4) (layer "F.Cu") (net 5) (tstamp 037d2939-7987-4798-afbe-5ca438b30d68)) + (segment (start 122.2 53.7125) (end 123.4375 54.95) (width 0.4) (layer "F.Cu") (net 5) (tstamp 04ccc4d3-94bd-4a93-9bae-b451714bfee3)) + (segment (start 173.1 61.725) (end 173.375 62) (width 0.4) (layer "F.Cu") (net 5) (tstamp 0fc0d0b2-2932-4065-8701-8ded00c2454b)) + (segment (start 126.8 85.8) (end 126.8 84.775) (width 0.4) (layer "F.Cu") (net 5) (tstamp 115b207f-2d8a-4eb2-a65e-d563d1e0b1a8)) + (segment (start 122.75 57.725) (end 123.8 58.775) (width 0.4) (layer "F.Cu") (net 5) (tstamp 11626d1b-1f13-4bda-a3c9-e6fa7957d8a4)) + (segment (start 119.6 55.65625) (end 119.6 55) (width 0.4) (layer "F.Cu") (net 5) (tstamp 1aedd164-0cdb-4dc0-9a6e-644f15fc2fa0)) + (segment (start 127.6 86.6) (end 129.6 86.6) (width 0.4) (layer "F.Cu") (net 5) (tstamp 1c7d08bb-a907-4da7-952d-6d94cffc325f)) + (segment (start 119.27216 56.1875) (end 120.08466 57) (width 0.4) (layer "F.Cu") (net 5) (tstamp 2141829b-81f8-4069-a360-2fd152c10caa)) + (segment (start 117.6 56.1875) (end 119.06875 56.1875) (width 0.4) (layer "F.Cu") (net 5) (tstamp 21e87f57-5ed9-446e-b7cb-8af9b759740b)) + (segment (start 117.65 58.85) (end 118.8 60) (width 0.3) (layer "F.Cu") (net 5) (tstamp 255ea6bd-7e88-4244-88da-72225fb6cbbc)) + (segment (start 123.8 58.775) (end 124.155 59.13) (width 0.4) (layer "F.Cu") (net 5) (tstamp 2de02212-e050-44c0-9af7-1c99f980b172)) + (segment (start 118 76.869988) (end 117.5 76.369988) (width 0.2) (layer "F.Cu") (net 5) (tstamp 35d4d019-b231-4194-b966-7a726ea8cf93)) + (segment (start 117.5 76.369988) (end 117.5 75.025) (width 0.2) (layer "F.Cu") (net 5) (tstamp 3808b37a-b143-4f8b-9a2d-e8ea44559990)) + (segment (start 124.195 61.805) (end 125 61) (width 0.4) (layer "F.Cu") (net 5) (tstamp 3904e8c5-1852-412b-9622-aa1b9ff92bdc)) + (segment (start 123.8 60.124022) (end 124.675978 61) (width 0.4) (layer "F.Cu") (net 5) (tstamp 3a3c59d6-f98a-41c9-99c7-276c7039e18e)) + (segment (start 171.9 85.2) (end 171.9 62.6125) (width 0.4) (layer "F.Cu") (net 5) (tstamp 48ec9682-16a7-49a1-bcf6-8ff8914fe911)) + (segment (start 115.6 69.4) (end 115.6 70.8) (width 0.4) (layer "F.Cu") (net 5) (tstamp 4953eaf0-5ee5-4d15-ab62-734b5ce735f0)) + (segment (start 118 79.8625) (end 118 76.869988) (width 0.2) (layer "F.Cu") (net 5) (tstamp 550d82f0-e5be-4538-a932-771e38096631)) + (segment (start 119.6 55) (end 120.8875 53.7125) (width 0.4) (layer "F.Cu") (net 5) (tstamp 5d5f258d-78fb-46d2-a754-fce470c641f3)) + (segment (start 152.875 86.6) (end 152.95 86.525) (width 0.4) (layer "F.Cu") (net 5) (tstamp 5eadcc7b-06ac-4ac0-9bb6-0616fe752078)) + (segment (start 172.7875 61.725) (end 173.1 61.725) (width 0.4) (layer "F.Cu") (net 5) (tstamp 5ede0676-def5-4674-8a42-08177df5b241)) + (segment (start 152 86.6) (end 152.875 86.6) (width 0.4) (layer "F.Cu") (net 5) (tstamp 61b96d38-f6d4-4f41-ae99-9b0f2bc74f7f)) + (segment (start 114.75 62.3) (end 113.4 62.3) (width 0.4) (layer "F.Cu") (net 5) (tstamp 65320c2e-4776-425a-a854-42d63b5033b9)) + (segment (start 116.62452 74.3) (end 117.34952 75.025) (width 0.4) (layer "F.Cu") (net 5) (tstamp 67531245-b5b3-416a-9c73-85e72cbf09e9)) + (segment (start 171.9 86.225) (end 171.9 85.2) (width 0.4) (layer "F.Cu") (net 5) (tstamp 677ef6ca-c22f-49d5-ac24-e30cb2853945)) + (segment (start 124.35 53.05) (end 122.8625 53.05) (width 0.4) (layer "F.Cu") (net 5) (tstamp 6b51a9c8-5ba6-4208-a91b-c229b55ac7b0)) + (segment (start 119.06875 56.1875) (end 119.27216 56.1875) (width 0.4) (layer "F.Cu") (net 5) (tstamp 6e299cdf-fb46-4f47-a74c-ac36379679c5)) + (segment (start 152.95 86.525) (end 171.6 86.525) (width 0.4) (layer "F.Cu") (net 5) (tstamp 817069be-8d70-4dfd-8104-b96965750898)) + (segment (start 126.8 83.6) (end 126.8 84.685) (width 0.4) (layer "F.Cu") (net 5) (tstamp 828bd6eb-eaa8-4f21-8fff-1664410a2cc3)) + (segment (start 123.8 58.775) (end 123.8 60.124022) (width 0.4) (layer "F.Cu") (net 5) (tstamp 84f3eaa7-adb6-4630-939d-d773ee06ff53)) + (segment (start 115.6 70.8) (end 116.62452 71.82452) (width 0.4) (layer "F.Cu") (net 5) (tstamp 940cb68c-02b7-40bd-aead-f8d2b8800e96)) + (segment (start 126.8 85.8) (end 127.6 86.6) (width 0.4) (layer "F.Cu") (net 5) (tstamp 9fd03dc2-fe45-42cb-8130-5193206c9826)) + (segment (start 121.437256 57) (end 122.162256 57.725) (width 0.4) (layer "F.Cu") (net 5) (tstamp a8d627e5-0d15-44a7-b351-50d7b5c53ddd)) + (segment (start 116.62452 71.82452) (end 116.62452 74.3) (width 0.4) (layer "F.Cu") (net 5) (tstamp aa9e3dc3-6022-415c-88e7-975720ef00f3)) + (segment (start 171.6 86.525) (end 171.9 86.225) (width 0.4) (layer "F.Cu") (net 5) (tstamp ae10a256-9a6a-48b9-bf89-7681c2b5bfdb)) + (segment (start 123.4375 54.95) (end 124.35 54.95) (width 0.4) (layer "F.Cu") (net 5) (tstamp b41c0b3f-bba1-4ff2-8e80-e7fd2a576a45)) + (segment (start 117.6 56.1875) (end 117.6 58.55) (width 0.4) (layer "F.Cu") (net 5) (tstamp bfb7b10b-c07c-4cae-b0ad-73bd22f95acb)) + (segment (start 122.162256 57.725) (end 122.75 57.725) (width 0.4) (layer "F.Cu") (net 5) (tstamp c159f66c-555c-4b39-a3d2-c0d2d9c17bb5)) + (segment (start 120.08466 57) (end 121.437256 57) (width 0.4) (layer "F.Cu") (net 5) (tstamp c3232ce4-d5f4-4894-ae7e-7f7d5dd2d741)) + (segment (start 124.675978 61) (end 125 61) (width 0.4) (layer "F.Cu") (net 5) (tstamp c6098a92-1d15-41b9-9cac-ee21661f9def)) + (segment (start 120.8875 53.7125) (end 122.2 53.7125) (width 0.4) (layer "F.Cu") (net 5) (tstamp d69bdbec-b05c-48dc-90e1-1b2ec060ab7a)) + (segment (start 122.8625 53.05) (end 122.2 53.7125) (width 0.4) (layer "F.Cu") (net 5) (tstamp dd4095a7-b56f-4ab4-98dc-8232b1fb9a53)) + (segment (start 119.06875 56.1875) (end 119.6 55.65625) (width 0.4) (layer "F.Cu") (net 5) (tstamp e2a0c992-7e07-4b37-8c4a-cec8614e0b05)) + (segment (start 124.155 59.13) (end 124.97 59.13) (width 0.4) (layer "F.Cu") (net 5) (tstamp e3e3bde6-78cb-4b1e-884d-807419850b12)) + (segment (start 123.25 61.805) (end 124.195 61.805) (width 0.4) (layer "F.Cu") (net 5) (tstamp f0e25b55-4c3f-460d-8602-85c6229f6764)) + (segment (start 171.9 62.6125) (end 172.7875 61.725) (width 0.4) (layer "F.Cu") (net 5) (tstamp f392d982-a041-4929-b740-00c4d7c5da95)) + (segment (start 173.375 62) (end 177 62) (width 0.4) (layer "F.Cu") (net 5) (tstamp f3c100f0-b0c6-4fa0-b3fb-fddb115375c3)) + (via (at 113.4 62.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 5) (tstamp 039f6755-c85f-4ee2-acd9-f6b5e1b5f489)) + (via (at 115.6 69.4) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 5) (tstamp 105a4d31-12ea-4aed-aeda-6b72a13e21c9)) + (via (at 177 62) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 5) (tstamp 3b84d380-ccab-4fd5-9bb8-59c432d27e8f)) + (via (at 152 86.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 5) (tstamp 40f43412-1210-4876-80c5-3e0b8e3fe13b)) + (via (at 126.8 83.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 5) (tstamp 4931d47a-14ea-4f4c-a6c3-d405239afdfe)) + (via (at 129.6 86.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 5) (tstamp 638fb61a-cb3e-4fd5-bd22-e518c38a31b1)) + (via (at 125 61) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 5) (tstamp a7dec8ec-3574-430f-9c30-9c4a12c8cc93)) + (via (at 171.9 85.2) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 5) (tstamp e875ef44-6e87-41b3-b2d6-745722b8310c)) + (via (at 118.8 60) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 5) (tstamp fc34e892-a40a-4227-b0d7-a4683ada9b08)) + (segment (start 174.185 86.775) (end 174.185 86.1) (width 0.4) (layer "B.Cu") (net 5) (tstamp 08ec4af1-f220-45f7-b00b-54d5526039af)) + (segment (start 126.8 74.8) (end 127.8 73.8) (width 0.4) (layer "B.Cu") (net 5) (tstamp 20149288-cee0-4e50-bb0f-d17b061ec193)) + (segment (start 127 71.2) (end 127.8 72) (width 0.4) (layer "B.Cu") (net 5) (tstamp 27b66db8-c7e6-4249-b8fa-ae7c6fdc56cf)) + (segment (start 116.5 62.3) (end 118.8 60) (width 0.4) (layer "B.Cu") (net 5) (tstamp 3b950b8b-dd84-4237-8506-4ff50a3a4397)) + (segment (start 175.92 62.1) (end 175.895 62.075) (width 0.4) (layer "B.Cu") (net 5) (tstamp 4c556dc0-7b15-4c44-9a8b-0a87c23f5d56)) + (segment (start 113.200489 67.900489) (end 113.200489 62.499511) (width 0.4) (layer "B.Cu") (net 5) (tstamp 6095a49c-86f4-4576-bd47-4d1a72d16a98)) + (segment (start 121.4 69) (end 123.2 69) (width 0.4) (layer "B.Cu") (net 5) (tstamp 62167689-c9fc-4be8-9f87-5117f9b70e7d)) + (segment (start 173.285 85.2) (end 171.9 85.2) (width 0.4) (layer "B.Cu") (net 5) (tstamp 623627eb-3caa-4a6b-a22d-760f8ae11170)) + (segment (start 126.09 61) (end 126.49 61.4) (width 0.4) (layer "B.Cu") (net 5) (tstamp 6bd9734d-b7e3-4e1a-b640-f4534059ad6b)) + (segment (start 126.49 61.4) (end 126.49 62.075) (width 0.4) (layer "B.Cu") (net 5) (tstamp 72850ea4-0348-44ad-913f-92253e57367d)) + (segment (start 113.4 62.3) (end 116.5 62.3) (width 0.4) (layer "B.Cu") (net 5) (tstamp 77950b8a-3d8e-4d1c-a015-e8736adf361f)) + (segment (start 125.4 71.2) (end 127 71.2) (width 0.4) (layer "B.Cu") (net 5) (tstamp 7c1773ff-9b3d-400a-a2d2-50285e4fe58a)) + (segment (start 115.6 69.4) (end 114.7 69.4) (width 0.4) (layer "B.Cu") (net 5) (tstamp 7c9b794a-4059-49ce-a6d1-2f2bc0c0d48f)) + (segment (start 114.7 69.4) (end 113.200489 67.900489) (width 0.4) (layer "B.Cu") (net 5) (tstamp 829c432d-e960-4df8-901c-90ead2015c5d)) + (segment (start 115.6 69.4) (end 121 69.4) (width 0.4) (layer "B.Cu") (net 5) (tstamp 8f3bd861-b9d3-4778-84a8-de4decddd54d)) + (segment (start 177 62) (end 176.9 62.1) (width 0.4) (layer "B.Cu") (net 5) (tstamp aa46d2be-0b59-4463-a65e-61fcee8f1f15)) + (segment (start 174.185 86.1) (end 173.285 85.2) (width 0.4) (layer "B.Cu") (net 5) (tstamp b317189f-84bf-43f8-8ec2-56c06ce757b6)) + (segment (start 126.8 83.6) (end 126.8 74.8) (width 0.4) (layer "B.Cu") (net 5) (tstamp b5f7151c-6c98-46d3-aaf0-8676c5cd78a7)) + (segment (start 129.425 86.775) (end 129.6 86.6) (width 0.4) (layer "B.Cu") (net 5) (tstamp b6e2bdf9-a1ef-4e22-93d7-1df077d49df6)) + (segment (start 127.8 72) (end 127.8 73.8) (width 0.4) (layer "B.Cu") (net 5) (tstamp bae121c0-1755-4179-9a09-311bb8c7f954)) + (segment (start 176.9 62.1) (end 175.92 62.1) (width 0.4) (layer "B.Cu") (net 5) (tstamp cccca328-641c-4b2e-8156-4eefb354c9b9)) + (segment (start 151.825 86.775) (end 152 86.6) (width 0.4) (layer "B.Cu") (net 5) (tstamp d4f92b45-abfa-4e3c-b93f-1ebca28d81a9)) + (segment (start 128.195 86.775) (end 129.425 86.775) (width 0.4) (layer "B.Cu") (net 5) (tstamp dcee90e7-48f7-4e9c-9d9a-6854328b2526)) + (segment (start 125 61) (end 126.09 61) (width 0.4) (layer "B.Cu") (net 5) (tstamp e1d63e30-69e6-45bd-9de7-e94a3309dd15)) + (segment (start 123.2 69) (end 125.4 71.2) (width 0.4) (layer "B.Cu") (net 5) (tstamp ea0cd842-d052-4d5e-9d5d-f32fd8e6c7be)) + (segment (start 113.200489 62.499511) (end 113.4 62.3) (width 0.4) (layer "B.Cu") (net 5) (tstamp f7b2e9c0-22fc-4bb1-862a-b7212aff6691)) + (segment (start 121 69.4) (end 121.4 69) (width 0.4) (layer "B.Cu") (net 5) (tstamp ff8c3e3d-5346-4ad9-b031-42001369d6ac)) + (segment (start 151.185 86.775) (end 151.825 86.775) (width 0.4) (layer "B.Cu") (net 5) (tstamp ffc67a5a-0482-4dd6-bcf2-94f95a9c6c1b)) + (segment (start 121.90144 87.90144) (end 124.9 90.9) (width 0.2) (layer "F.Cu") (net 6) (tstamp 33bac71a-b9a7-48ec-985e-17f75b3744b0)) + (segment (start 119.6 87.365006) (end 120.136434 87.90144) (width 0.2) (layer "F.Cu") (net 6) (tstamp 3b7c6b22-bc4e-40bc-ad18-5832a0cdaefd)) + (segment (start 120.136434 87.90144) (end 121.90144 87.90144) (width 0.2) (layer "F.Cu") (net 6) (tstamp 700f6b92-3497-4cf9-8808-9f711b0d2767)) + (segment (start 124.9 90.9) (end 124.9 97.5) (width 0.2) (layer "F.Cu") (net 6) (tstamp 917806c6-4d01-4a2c-891c-28b973df8e08)) + (segment (start 119.6 86.7375) (end 119.6 87.365006) (width 0.2) (layer "F.Cu") (net 6) (tstamp a6d22bce-cd3a-4e05-b15a-d78852c0e25d)) + (segment (start 126.8 99.4) (end 149.7 99.4) (width 0.2) (layer "F.Cu") (net 6) (tstamp bf656fcf-4537-40ba-a2fa-aa64c30f085a)) + (segment (start 149.7 99.4) (end 150 99.7) (width 0.2) (layer "F.Cu") (net 6) (tstamp c04ff827-8205-46b0-a0fc-8228247444ef)) + (segment (start 150 99.7) (end 150 101.15) (width 0.2) (layer "F.Cu") (net 6) (tstamp d8ef3420-7433-44c5-a86d-958a42463c3d)) + (segment (start 124.9 97.5) (end 126.8 99.4) (width 0.2) (layer "F.Cu") (net 6) (tstamp f4aed54b-a1f8-4bfb-a551-26e7faccead0)) + (segment (start 116.1 88.2) (end 115.1 88.2) (width 0.2) (layer "F.Cu") (net 7) (tstamp 0e5972e1-69d2-44e7-8f62-dea91d7b748d)) + (segment (start 117.325 97.15) (end 117.325 95.425) (width 0.2) (layer "F.Cu") (net 7) (tstamp 504bfefa-c121-4ecb-bce3-72243e7d0a52)) + (segment (start 117.17 95.17) (end 117.17 95.27) (width 0.2) (layer "F.Cu") (net 7) (tstamp 516773e2-00b5-4116-ae98-c655e9a06de7)) + (segment (start 114.4 93.899022) (end 114.700978 94.2) (width 0.2) (layer "F.Cu") (net 7) (tstamp 57a4cf10-3cda-48f9-8e96-e97337ea0cfe)) + (segment (start 114.4 88.9) (end 114.4 93.899022) (width 0.2) (layer "F.Cu") (net 7) (tstamp 6bd29aee-f4a5-4c63-9117-c861b58a6aa0)) + (segment (start 116.8 86.7375) (end 116.8 87.5) (width 0.2) (layer "F.Cu") (net 7) (tstamp 6f5b745c-2bfa-4908-9bd3-2bc2bf25841f)) + (segment (start 116.8 87.5) (end 116.1 88.2) (width 0.2) (layer "F.Cu") (net 7) (tstamp a6de1055-b9d5-4e15-976e-a306a43aabf0)) + (segment (start 116.2 94.2) (end 117.17 95.17) (width 0.2) (layer "F.Cu") (net 7) (tstamp b42ac809-1f36-4fe2-99a1-28871b4d2476)) + (segment (start 114.700978 94.2) (end 116.2 94.2) (width 0.2) (layer "F.Cu") (net 7) (tstamp bebf06da-533f-4436-85e5-ef83f2bc2a00)) + (segment (start 115.1 88.2) (end 114.4 88.9) (width 0.2) (layer "F.Cu") (net 7) (tstamp e931c091-6bf1-438c-b638-ab5b37a81a8d)) + (segment (start 116.915 104.25) (end 118.55 104.25) (width 0.2) (layer "F.Cu") (net 9) (tstamp 519a9eb1-a77a-440c-ba34-7578b7b3f9cc)) + (segment (start 116.43 104.735) (end 116.915 104.25) (width 0.2) (layer "F.Cu") (net 9) (tstamp b092129f-3bc0-43c8-81bf-9bd29225a0c0)) + (segment (start 120 79.8625) (end 120 78.3) (width 0.2) (layer "F.Cu") (net 10) (tstamp 00d5ff79-ec77-42c4-9a3f-6ee181384e5b)) + (segment (start 114.5 71.9) (end 114.9 72.3) (width 0.3) (layer "F.Cu") (net 10) (tstamp 103a79ae-fd7d-48b0-bc90-d7fe4d246731)) + (segment (start 139 104.825) (end 139 106.5) (width 0.4) (layer "F.Cu") (net 10) (tstamp 11982e9c-5e86-44af-bded-489085e0bdb8)) + (segment (start 112.8 72.5) (end 113.4 71.9) (width 0.4) (layer "F.Cu") (net 10) (tstamp 151bee6f-fe0c-4c7d-b579-fe3ceeb0aff8)) + (segment (start 113.4 71.9) (end 114.5 71.9) (width 0.4) (layer "F.Cu") (net 10) (tstamp 1aeb0e2e-8a42-456a-9204-4264c0850eae)) + (segment (start 117.59952 77.034514) (end 115.990006 75.425) (width 0.2) (layer "F.Cu") (net 10) (tstamp 1de0285c-54be-4196-ab0c-922e04c53d6e)) + (segment (start 114.75 105.7875) (end 114.55 105.5875) (width 0.4) (layer "F.Cu") (net 10) (tstamp 273c8e8b-3fb5-466a-b6b2-a88817a10700)) + (segment (start 108.76 89.585) (end 109.765 89.585) (width 0.3) (layer "F.Cu") (net 10) (tstamp 29a63f1d-37e8-4b5f-8ac7-6a9b82abbe9f)) + (segment (start 120.1 97.9) (end 120.1 105.4) (width 0.4) (layer "F.Cu") (net 10) (tstamp 2f106fde-46f4-4145-8d85-213a9802a075)) + (segment (start 114.9 74.575) (end 115.75 75.425) (width 0.3) (layer "F.Cu") (net 10) (tstamp 30c5eb8f-f1a9-42f8-8088-3c88faf49a94)) + (segment (start 119.6 79.8625) (end 119.6 77.575) (width 0.2) (layer "F.Cu") (net 10) (tstamp 3272f56a-f32d-4529-a952-d72389986804)) + (segment (start 139 104.825) (end 142.9625 104.825) (width 0.4) (layer "F.Cu") (net 10) (tstamp 37b323a6-32da-4769-92a8-a57130bf0735)) + (segment (start 112.1 87.25) (end 112.1 85.575) (width 0.3) (layer "F.Cu") (net 10) (tstamp 398a63ca-35da-4ead-8d34-6354613e95c5)) + (segment (start 152 103.75) (end 149.25 106.5) (width 0.2) (layer "F.Cu") (net 10) (tstamp 3bcaad50-2580-4416-bb79-6aa31bdb0a35)) + (segment (start 126.55 53.05) (end 128.125 53.05) (width 0.4) (layer "F.Cu") (net 10) (tstamp 408f9c05-2e1b-43e4-bc90-85be1d08bac0)) + (segment (start 120.8375 80.7) (end 123.575 80.7) (width 0.2) (layer "F.Cu") (net 10) (tstamp 4b05118a-ae90-45de-be4e-a7494db46146)) + (segment (start 113.9625 84.3) (end 111.175 84.3) (width 0.2) (layer "F.Cu") (net 10) (tstamp 4cc33970-8089-4939-9ab3-269ac8df7ca0)) + (segment (start 117.6 79.130358) (end 117.59952 79.129878) (width 0.2) (layer "F.Cu") (net 10) (tstamp 4d99de40-1954-4548-95be-aa6fe909b539)) + (segment (start 116.25 107.25) (end 116.2125 107.25) (width 0.4) (layer "F.Cu") (net 10) (tstamp 4f107cc1-757b-42dc-a2ef-191a27366d37)) + (segment (start 112.050497 79.687003) (end 112.050497 73.249503) (width 0.4) (layer "F.Cu") (net 10) (tstamp 50246a56-6b50-4f0f-875f-b2d15f943786)) + (segment (start 113.9625 80.7) (end 111.025 80.7) (width 0.2) (layer "F.Cu") (net 10) (tstamp 553d567b-1858-45f7-acb5-565e26363ec0)) + (segment (start 118.9 90.875) (end 118.9 89.914274) (width 0.3) (layer "F.Cu") (net 10) (tstamp 582e3d37-fef6-4456-8e67-1d2b6d8ef529)) + (segment (start 117.6 79.8625) (end 117.6 79.130358) (width 0.2) (layer "F.Cu") (net 10) (tstamp 60e49db2-74a6-4455-a932-3fa79682b77d)) + (segment (start 114.9 72.3) (end 114.9 74.575) (width 0.3) (layer "F.Cu") (net 10) (tstamp 63fd213f-dfbf-4a3f-a8f3-1e9341303e54)) + (segment (start 112.050497 73.249503) (end 112.8 72.5) (width 0.4) (layer "F.Cu") (net 10) (tstamp 678eef0b-16bb-410b-8586-fb25760a80de)) + (segment (start 117.59952 79.129878) (end 117.59952 77.034514) (width 0.2) (layer "F.Cu") (net 10) (tstamp 70cd7836-803a-44fb-9bde-e51c92840d07)) + (segment (start 122.175 73) (end 122.175 75) (width 0.4) (layer "F.Cu") (net 10) (tstamp 746d6c7e-5484-4558-b21b-1c69fe290eb1)) + (segment (start 128.125 53.05) (end 128.7 53.625) (width 0.4) (layer "F.Cu") (net 10) (tstamp 75fa1a60-29f3-4b46-a5c3-9d890c5135f4)) + (segment (start 129.8 54.725) (end 129.8 59.1) (width 0.4) (layer "F.Cu") (net 10) (tstamp 7abceca9-375b-462b-b9fb-fde03a9728e7)) + (segment (start 117.6 88.614274) (end 118.392863 89.407137) (width 0.2) (layer "F.Cu") (net 10) (tstamp 7b5c5688-9aff-4143-a0cd-146e6b90d84a)) + (segment (start 122.175 75) (end 122.175 77.2) (width 0.4) (layer "F.Cu") (net 10) (tstamp 836221ae-9595-41e5-b6db-6fe9928e698d)) + (segment (start 119.7125 105.7875) (end 114.75 105.7875) (width 0.4) (layer "F.Cu") (net 10) (tstamp 87e5d468-4f5c-4a60-8c98-c76feb4bce82)) + (segment (start 123.75 81.65) (end 123.8 81.7) (width 0.4) (layer "F.Cu") (net 10) (tstamp 88332108-b42b-4c90-bdb4-4e8e27df4a26)) + (segment (start 129.8 59.1) (end 122.175 66.725) (width 0.4) (layer "F.Cu") (net 10) (tstamp 982e072e-b47c-4b69-a424-685b66b6869e)) + (segment (start 123.25 83.25) (end 123.25 84.175) (width 0.4) (layer "F.Cu") (net 10) (tstamp 98342acb-8937-4bf4-97a0-a0519598d737)) + (segment (start 109.205 90.03) (end 108.76 89.585) (width 0.3) (layer "F.Cu") (net 10) (tstamp 986a317c-0913-45d5-894a-6a8a1fc09cdf)) + (segment (start 111 80.675) (end 111 84.475) (width 0.3) (layer "F.Cu") (net 10) (tstamp 9a5e2dce-50c1-4bb3-b8dc-a3395d65357b)) + (segment (start 118.9 89.914274) (end 118.392863 89.407137) (width 0.3) (layer "F.Cu") (net 10) (tstamp 9b7f43b8-c8de-4f3e-bce9-580b89300bf6)) + (segment (start 149.25 106.5) (end 139 106.5) (width 0.2) (layer "F.Cu") (net 10) (tstamp a508bee3-939e-4323-b20f-2dd824777d49)) + (segment (start 122.175 78.95) (end 123.75 80.525) (width 0.4) (layer "F.Cu") (net 10) (tstamp af9d19f1-552f-4207-abaf-cc5838df8ddb)) + (segment (start 122.175 66.725) (end 122.175 70.175) (width 0.4) (layer "F.Cu") (net 10) (tstamp b2d5450b-54ce-4816-9ef1-913af0a51982)) + (segment (start 117.6 86.7375) (end 117.6 88.614274) (width 0.2) (layer "F.Cu") (net 10) (tstamp b4c40da6-5bdf-43de-aa85-0c9fe1aacc03)) + (segment (start 120 78.3) (end 121.1 77.2) (width 0.2) (layer "F.Cu") (net 10) (tstamp b8e69ea9-9251-4cf7-af8a-e00363b61e3b)) + (segment (start 116.2125 107.25) (end 114.55 105.5875) (width 0.4) (layer "F.Cu") (net 10) (tstamp bb32b2fe-09b0-4e21-9f23-b56ec377f9f3)) + (segment (start 123.75 80.525) (end 123.75 81.65) (width 0.4) (layer "F.Cu") (net 10) (tstamp bbb1362c-84f6-4988-ae58-65cb346b6d79)) + (segment (start 123.125 84.3) (end 120.8375 84.3) (width 0.2) (layer "F.Cu") (net 10) (tstamp bbc25afc-7d97-43e8-a5ed-afb7c18d582b)) + (segment (start 122.175 70.175) (end 122.175 73) (width 0.4) (layer "F.Cu") (net 10) (tstamp bcc910b1-a53f-47bb-96f3-74742a9581de)) + (segment (start 112.1 85.575) (end 111 84.475) (width 0.3) (layer "F.Cu") (net 10) (tstamp c620c594-bdf8-4ed7-94d9-d105dc9211de)) + (segment (start 109.765 89.585) (end 112.1 87.25) (width 0.3) (layer "F.Cu") (net 10) (tstamp c6c4b9e0-86e7-45dc-bc51-9b5666ebbb46)) + (segment (start 128.7 53.625) (end 129.8 54.725) (width 0.4) (layer "F.Cu") (net 10) (tstamp d0a3f0ac-451b-437a-ad92-f0ced8b22ee7)) + (segment (start 109.205 91.67) (end 109.205 90.03) (width 0.3) (layer "F.Cu") (net 10) (tstamp d9c7e7d6-3ebf-4f61-b77e-b232b8cc738c)) + (segment (start 121.1 77.2) (end 122.175 77.2) (width 0.2) (layer "F.Cu") (net 10) (tstamp e0d19588-9260-451b-8271-e3d1c765c7cb)) + (segment (start 119.6 77.575) (end 122.175 75) (width 0.2) (layer "F.Cu") (net 10) (tstamp e9d58c60-25f1-459a-88ac-30a3fe967b4f)) + (segment (start 152 101.15) (end 152 103.75) (width 0.2) (layer "F.Cu") (net 10) (tstamp ede69bcf-17ec-4e9d-a33a-3463ae09cc9a)) + (segment (start 120.1 105.4) (end 119.7125 105.7875) (width 0.4) (layer "F.Cu") (net 10) (tstamp ededea4c-13b2-4000-9855-c494b6e09d53)) + (segment (start 111.0625 80.675) (end 112.050497 79.687003) (width 0.4) (layer "F.Cu") (net 10) (tstamp ee07dfd2-e7f2-4123-9290-58490f9ad9a4)) + (segment (start 122.175 77.2) (end 122.175 78.95) (width 0.4) (layer "F.Cu") (net 10) (tstamp f01b97a8-8fe6-4955-8370-e33ce8347ba1)) + (via (at 123.25 83.25) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 10) (tstamp 199cc3f0-1807-411a-bd86-f4df29a93d46)) + (via (at 116.25 107.25) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 10) (tstamp 1d54c6db-ceed-4c3f-bdf0-a77eb507c297)) + (via (at 123.8 81.7) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 10) (tstamp 3e6fd41d-61c8-4bc6-a2a5-ea275c3ea124)) + (via (at 122.175 70.175) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 10) (tstamp 3f87930f-c2ef-4f63-a00a-f19be0cbc995)) + (via (at 139 106.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 10) (tstamp 6968d33f-c7e6-4b91-8ba8-97705292812d)) + (via (at 118.392863 89.407137) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 10) (tstamp e7401a61-7095-4f2c-9553-f2dc544d5c68)) + (via (at 120.1 97.9) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 10) (tstamp e89d48ed-8f1d-4161-af56-209ae5cedaa7)) + (via (at 112.8 72.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 10) (tstamp ff16f92b-83ac-4c32-8391-06053f64b4f4)) + (segment (start 118.985726 90) (end 118.392863 89.407137) (width 0.4) (layer "B.Cu") (net 10) (tstamp 03bdfe14-ff13-40f2-9d65-813afd7fe5b3)) + (segment (start 120.5 90) (end 118.985726 90) (width 0.4) (layer "B.Cu") (net 10) (tstamp 05c6285b-81c1-49be-9f85-3ee7b51a56de)) + (segment (start 116.25 107.25) (end 138.25 107.25) (width 0.4) (layer "B.Cu") (net 10) (tstamp 2dcee419-f826-4392-b971-46cacc340ef7)) + (segment (start 112.8 72.5) (end 112.8 71.6) (width 0.4) (layer "B.Cu") (net 10) (tstamp 2df7b5c0-4b7f-4510-a74e-618a6e169a25)) + (segment (start 112.8 71.6) (end 113.5 70.9) (width 0.4) (layer "B.Cu") (net 10) (tstamp 3c2d8363-14e7-42ad-9e17-aaf2e8cb059f)) + (segment (start 124.5 86) (end 120.5 90) (width 0.4) (layer "B.Cu") (net 10) (tstamp 43d8dc1d-22ba-4a62-aafc-656bb3d752c9)) + (segment (start 120.5 97.5) (end 120.1 97.9) (width 0.4) (layer "B.Cu") (net 10) (tstamp 6994ffe5-b27e-4904-aa86-b4d2bd71f58d)) + (segment (start 123.8 82.7) (end 123.8 82.8) (width 0.4) (layer "B.Cu") (net 10) (tstamp 6fa19070-cc82-480d-b5eb-69705995e4c1)) + (segment (start 113.5 70.9) (end 121.45 70.9) (width 0.4) (layer "B.Cu") (net 10) (tstamp 75fb9288-3985-4e07-964f-2ecd7a258721)) + (segment (start 123.8 81.7) (end 123.8 82.7) (width 0.4) (layer "B.Cu") (net 10) (tstamp 7669c011-7453-48a6-9f19-b7504986853b)) + (segment (start 124.5 83.5) (end 124.5 86) (width 0.4) (layer "B.Cu") (net 10) (tstamp 83a86384-ccd0-40b4-adb9-65db9a938c08)) + (segment (start 123.8 82.7) (end 123.25 83.25) (width 0.4) (layer "B.Cu") (net 10) (tstamp 8b17b04d-2c2e-475e-b88f-27f4c3f23c26)) + (segment (start 121.45 70.9) (end 122.175 70.175) (width 0.4) (layer "B.Cu") (net 10) (tstamp 95d8ca7b-3215-48e8-96f5-aac8de6af0aa)) + (segment (start 138.25 107.25) (end 139 106.5) (width 0.4) (layer "B.Cu") (net 10) (tstamp a4d7c278-a316-4274-ad4a-4c1f165b012b)) + (segment (start 120.5 90) (end 120.5 97.5) (width 0.4) (layer "B.Cu") (net 10) (tstamp abdbd49b-66cd-4942-8fa0-6e3a8063b351)) + (segment (start 123.8 82.8) (end 124.5 83.5) (width 0.4) (layer "B.Cu") (net 10) (tstamp dd269685-a51d-479a-b809-83ca83a86941)) + (segment (start 144.7 102.8) (end 150.7 102.8) (width 0.2) (layer "F.Cu") (net 11) (tstamp 043582fa-d247-4c5c-9fe4-2ba8295b3bb7)) + (segment (start 112.8 81.8) (end 112.9 81.8) (width 0.2) (layer "F.Cu") (net 11) (tstamp 53a93f99-5d78-4aaf-8578-d885a26527ef)) + (segment (start 113.2 81.5) (end 113.9625 81.5) (width 0.2) (layer "F.Cu") (net 11) (tstamp 5eebc8f1-d7c6-489a-af1a-eb744c82d2da)) + (segment (start 144.2875 103.2125) (end 144.7 102.8) (width 0.2) (layer "F.Cu") (net 11) (tstamp 7bd3a3c2-46bf-442f-88b5-f80d7024e54d)) + (segment (start 143 103.2125) (end 144.2875 103.2125) (width 0.2) (layer "F.Cu") (net 11) (tstamp 96699487-274d-443d-906c-2798a7168c04)) + (segment (start 151 102.5) (end 151 101.15) (width 0.2) (layer "F.Cu") (net 11) (tstamp d057cd5c-cd9e-4ecc-87d1-c5a5ebb1076f)) + (segment (start 150.7 102.8) (end 151 102.5) (width 0.2) (layer "F.Cu") (net 11) (tstamp d97083b0-240f-4b36-be0d-edd14e7eaebb)) + (segment (start 112.9 81.8) (end 113.2 81.5) (width 0.2) (layer "F.Cu") (net 11) (tstamp f08d627d-db11-4945-aba9-920c4595bcf3)) + (via (at 144.2875 103.2125) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 11) (tstamp bb8c6c20-8de1-41d8-89e6-56d8a357d039)) + (via (at 112.8 81.8) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 11) (tstamp dc474776-3420-4055-a3e1-b10f69fddbba)) + (segment (start 112.8 81.8) (end 112.8 82.2) (width 0.2) (layer "B.Cu") (net 11) (tstamp 5ec49ba4-a857-4387-9828-1ded49efd8d1)) + (segment (start 112.8 82.2) (end 110.49856 84.50144) (width 0.2) (layer "B.Cu") (net 11) (tstamp 90db4ac5-9934-4c1f-89bb-aebc39bd0e9b)) + (segment (start 110.49856 84.50144) (end 110.49856 93.59856) (width 0.2) (layer "B.Cu") (net 11) (tstamp 9e9d7a3f-c422-4b4c-a996-4c7530b16dbb)) + (segment (start 110.49856 93.59856) (end 120.1125 103.2125) (width 0.2) (layer "B.Cu") (net 11) (tstamp bdf665b2-6669-445d-9e80-a7eadf527cae)) + (segment (start 120.1125 103.2125) (end 144.2875 103.2125) (width 0.2) (layer "B.Cu") (net 11) (tstamp ea2e76db-f2e1-4578-be17-8e2d02f2a34e)) + (segment (start 150.424031 102.35048) (end 150.5 102.274511) (width 0.2) (layer "F.Cu") (net 12) (tstamp 016519aa-02d6-4190-acf4-f4ebfcca1d17)) + (segment (start 141.25 103.2125) (end 142.11202 102.35048) (width 0.2) (layer "F.Cu") (net 12) (tstamp 4a48397e-5c05-444d-a926-21ea6c864bc0)) + (segment (start 111.9 82.2) (end 111.9 81.8) (width 0.2) (layer "F.Cu") (net 12) (tstamp 78ae16ed-cbf3-494b-99b6-ba38a61831d8)) + (segment (start 112.6 81.1) (end 113.9625 81.1) (width 0.2) (layer "F.Cu") (net 12) (tstamp 9292a3f9-7fae-44e7-9ef1-9268b20b5d31)) + (segment (start 142.11202 102.35048) (end 150.424031 102.35048) (width 0.2) (layer "F.Cu") (net 12) (tstamp 9551b9cb-a5e5-4524-98e5-d35d288a837d)) + (segment (start 140.5625 103.9) (end 141.25 103.2125) (width 0.2) (layer "F.Cu") (net 12) (tstamp ad5082ef-6212-42b1-a8cf-69d3be086a54)) + (segment (start 150.5 102.274511) (end 150.5 101.15) (width 0.2) (layer "F.Cu") (net 12) (tstamp c2f7766e-4629-4cdf-84df-8fa6e36a5448)) + (segment (start 111.9 81.8) (end 112.6 81.1) (width 0.2) (layer "F.Cu") (net 12) (tstamp e3f8bd75-4804-4908-8fa4-458d9e075d6b)) + (segment (start 140.2 103.9) (end 140.5625 103.9) (width 0.2) (layer "F.Cu") (net 12) (tstamp f141ce8b-6da3-4578-b170-0d2f77a48a35)) + (via (at 111.9 82.2) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 12) (tstamp 9109a8b9-0336-4e75-8a2b-5d7a23276bd9)) + (via (at 140.2 103.9) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 12) (tstamp b7fc4078-e7a0-4c9f-b290-c681dca0bbfd)) + (segment (start 111.9 82.2) (end 110.09904 84.00096) (width 0.2) (layer "B.Cu") (net 12) (tstamp 0fdccf07-87de-48c8-92d6-2e3df1f24ab5)) + (segment (start 110.09904 94.19904) (end 119.8 103.9) (width 0.2) (layer "B.Cu") (net 12) (tstamp 6395c72b-a103-457c-a952-af9d89718e8a)) + (segment (start 110.09904 84.00096) (end 110.09904 94.19904) (width 0.2) (layer "B.Cu") (net 12) (tstamp 68e012d0-60ac-42f3-8dc7-c1baf0eb61dd)) + (segment (start 119.8 103.9) (end 140.2 103.9) (width 0.2) (layer "B.Cu") (net 12) (tstamp 6e1154c0-3a2f-46f3-9a23-a17c996657ce)) + (segment (start 129.9 103.4) (end 132.075 103.4) (width 0.2) (layer "F.Cu") (net 13) (tstamp 0b3ebb73-7608-4ddb-b006-7c958022eccc)) + (segment (start 136.925 103.4) (end 138.775 103.4) (width 0.2) (layer "F.Cu") (net 13) (tstamp 0b972e0e-59ef-4774-b87c-fd7ce9071d78)) + (segment (start 119.2 87.530012) (end 119.970947 88.300959) (width 0.2) (layer "F.Cu") (net 13) (tstamp 11180654-28dd-43bb-8a1e-c2cc2060dd93)) + (segment (start 119.970947 88.300959) (end 121.735954 88.30096) (width 0.2) (layer "F.Cu") (net 13) (tstamp 14045369-4c1b-45a5-95f2-c830e0ea3e9f)) + (segment (start 124.467497 97.967497) (end 129.9 103.4) (width 0.2) (layer "F.Cu") (net 13) (tstamp 4678e16a-6625-448d-b7f3-08e552cd01cf)) + (segment (start 119.2 86.7375) (end 119.2 87.530012) (width 0.2) (layer "F.Cu") (net 13) (tstamp 5fe8fa2d-6804-4f5a-a0fc-9d2a594b7cf1)) + (segment (start 132.075 103.4) (end 136.925 103.4) (width 0.2) (layer "F.Cu") (net 13) (tstamp 80a13093-4005-49df-b517-effb0fc8394a)) + (segment (start 121.735954 88.30096) (end 124.467497 91.032503) (width 0.2) (layer "F.Cu") (net 13) (tstamp a9275aa8-3089-4a74-9023-cd1bf8d90214)) + (segment (start 124.467497 91.032503) (end 124.467497 97.967497) (width 0.2) (layer "F.Cu") (net 13) (tstamp ba1c3276-7360-4fb9-ac90-63c5aff2fb4d)) + (segment (start 109.2 97.3) (end 109.2 98.865) (width 0.2) (layer "F.Cu") (net 14) (tstamp 00e7c7c6-1bac-408d-934f-6985e199ae79)) + (segment (start 114.55 104.0125) (end 115.5025 104.0125) (width 0.2) (layer "F.Cu") (net 14) (tstamp 07c27043-83a8-4f0a-a2af-0b058a0c457a)) + (segment (start 114.487508 79.550008) (end 114.8 79.8625) (width 0.2) (layer "F.Cu") (net 14) (tstamp 8a626d0c-d24c-4b1d-ba55-1605ae0ddc14)) + (segment (start 112.750008 79.550008) (end 114.487508 79.550008) (width 0.2) (layer "F.Cu") (net 14) (tstamp 9f8e6f70-73fe-4c2b-81c3-ea97e1fac44d)) + (segment (start 109.205 98.87) (end 109.205 99.035717) (width 0.2) (layer "F.Cu") (net 14) (tstamp b8a14823-9da3-48be-8a6c-52c990d11bda)) + (segment (start 115.5025 104.0125) (end 116.43 103.085) (width 0.2) (layer "F.Cu") (net 14) (tstamp cc618a53-9a46-4df1-8b68-bb869dd48fb1)) + (segment (start 109.205 99.035717) (end 114.181783 104.0125) (width 0.2) (layer "F.Cu") (net 14) (tstamp f25d1bd6-e38a-4e82-ab92-6657b30c4414)) + (segment (start 114.181783 104.0125) (end 114.55 104.0125) (width 0.2) (layer "F.Cu") (net 14) (tstamp f7394d3e-b772-43d8-8a2a-c430d963f9a7)) + (via (at 112.750008 79.550008) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 14) (tstamp 1b90b167-4c9d-492b-85ef-72d77bf11b84)) + (via (at 109.2 97.3) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 14) (tstamp 375d6b77-4066-4e2b-9e37-ff9e9781e176)) + (segment (start 108.9 83) (end 108.9 97) (width 0.2) (layer "B.Cu") (net 14) (tstamp beb197ca-080d-46c5-bd67-7843d85143ba)) + (segment (start 108.9 97) (end 109.2 97.3) (width 0.2) (layer "B.Cu") (net 14) (tstamp c80be0f0-ae6f-4640-ac63-dcb8f3a7e92c)) + (segment (start 112.349992 79.550008) (end 108.9 83) (width 0.2) (layer "B.Cu") (net 14) (tstamp ce9e6c63-fbb6-4121-acf2-e7e0516c7608)) + (segment (start 112.750008 79.550008) (end 112.349992 79.550008) (width 0.2) (layer "B.Cu") (net 14) (tstamp d3458671-21c5-4279-9fb3-ffd209b72173)) + (segment (start 120.204982 89.1) (end 121.865006 89.1) (width 0.2) (layer "F.Cu") (net 15) (tstamp 140c396f-2dff-47f9-95c3-bd005a62b957)) + (segment (start 123.9 91.134994) (end 123.9 96.92) (width 0.2) (layer "F.Cu") (net 15) (tstamp 3207a446-4779-4e2a-a058-00d3c0e83411)) + (segment (start 118.8 86.7375) (end 118.8 87.695018) (width 0.2) (layer "F.Cu") (net 15) (tstamp 91efac5f-55d3-482b-bf37-0e44858e681f)) + (segment (start 123.9 96.92) (end 121.48 99.34) (width 0.2) (layer "F.Cu") (net 15) (tstamp 94b0d510-78ab-4da9-aa7d-9d5fd0dc463e)) + (segment (start 118.8 87.695018) (end 120.204982 89.1) (width 0.2) (layer "F.Cu") (net 15) (tstamp d1f87ce3-279b-4ea5-baaf-4bfc3b851ccd)) + (segment (start 121.865006 89.1) (end 123.9 91.134994) (width 0.2) (layer "F.Cu") (net 15) (tstamp db53715f-f93a-4488-a90b-9600ba5a99a4)) + (segment (start 118.59 99.31) (end 118.59 98.01) (width 0.2) (layer "F.Cu") (net 16) (tstamp 04d123a3-5fd1-449d-9fa2-0005c3148c7d)) + (segment (start 121.7 94.9) (end 123 94.9) (width 0.2) (layer "F.Cu") (net 16) (tstamp 44af80dd-c5c6-4ab2-8ea2-21080820e194)) + (segment (start 118.59 98.01) (end 121.7 94.9) (width 0.2) (layer "F.Cu") (net 16) (tstamp 497f4c0a-39f4-417a-bf20-de30bb1d7097)) + (segment (start 121.69952 89.49952) (end 120.039496 89.49952) (width 0.2) (layer "F.Cu") (net 16) (tstamp 4decbc35-7786-4c46-8754-ac3c5a44e16c)) + (segment (start 120.039496 89.49952) (end 118.4 87.860024) (width 0.2) (layer "F.Cu") (net 16) (tstamp 611c53a0-d9e8-472f-899d-8177bc62650a)) + (segment (start 123.420421 94.479579) (end 123.420421 91.220421) (width 0.2) (layer "F.Cu") (net 16) (tstamp 6c58e18b-39b6-49cc-b4e7-6edd9e190f7a)) + (segment (start 123 94.9) (end 123.420421 94.479579) (width 0.2) (layer "F.Cu") (net 16) (tstamp 7041d5cc-3330-4117-8a66-89240fbdbc7f)) + (segment (start 123.420421 91.220421) (end 121.69952 89.49952) (width 0.2) (layer "F.Cu") (net 16) (tstamp 8745825c-cf08-4c58-bd0b-9b6210a6bdd1)) + (segment (start 118.4 87.860024) (end 118.4 86.7375) (width 0.2) (layer "F.Cu") (net 16) (tstamp cc15738b-a3e7-4387-88c9-a4bbceb23049)) + (segment (start 126 58.03) (end 126 60.4) (width 0.3) (layer "F.Cu") (net 17) (tstamp 26f69c74-9c6b-4d5d-aea9-7fb1f15e0ed1)) + (segment (start 126 60.4) (end 126 61.2) (width 0.3) (layer "F.Cu") (net 17) (tstamp 550010d7-ad7f-4181-b423-db55ef030c16)) + (segment (start 125.8 57.83) (end 126 58.03) (width 0.3) (layer "F.Cu") (net 17) (tstamp abb352b7-7acd-42f0-8195-01b2f94268f3)) + (segment (start 124.97 57.83) (end 125.8 57.83) (width 0.3) (layer "F.Cu") (net 17) (tstamp e0cab00e-7e00-44c6-8ce8-e36b5d044785)) + (segment (start 126 61.2) (end 124.6 62.6) (width 0.3) (layer "F.Cu") (net 17) (tstamp e70d726d-4594-42a9-8be2-a2c62e48ede7)) + (via (at 124.6 62.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 17) (tstamp db244822-e7c5-4d19-90e6-2bfa0ddd3200)) + (segment (start 124.6 62.6) (end 125.125 62.075) (width 0.3) (layer "B.Cu") (net 17) (tstamp 5dd7af90-7016-469b-81dc-7d58bf7811e2)) + (segment (start 125.125 62.075) (end 125.54 62.075) (width 0.3) (layer "B.Cu") (net 17) (tstamp 649877a7-eec3-4048-9cf8-4a58508e6e54)) + (segment (start 128.6 65.135) (end 128.6 84.4) (width 0.3) (layer "B.Cu") (net 19) (tstamp 2fd36f4c-e8e6-444c-ba0b-8ee69a782d2c)) + (segment (start 127.245 85.755) (end 127.245 86.775) (width 0.3) (layer "B.Cu") (net 19) (tstamp 6adc2f23-433d-423b-ae80-c02feb3fa376)) + (segment (start 128.6 84.4) (end 127.245 85.755) (width 0.3) (layer "B.Cu") (net 19) (tstamp bc67ffb7-149d-4d55-9452-9b3f11db9b65)) + (segment (start 126.49 63.025) (end 128.6 65.135) (width 0.3) (layer "B.Cu") (net 19) (tstamp dd5625a2-3b8b-4b85-a5ec-c3f4cc801afa)) + (segment (start 115.2 78.852162) (end 115.2 79.8625) (width 0.2) (layer "F.Cu") (net 21) (tstamp 16eb1006-4e47-4e8c-a551-9e12d8db8d00)) + (segment (start 113.4 78.7) (end 115.047838 78.7) (width 0.2) (layer "F.Cu") (net 21) (tstamp 6384d3ec-be3d-4b9a-8d4d-fb85139c7fe8)) + (segment (start 110.5 97.3) (end 110.5 98.845) (width 0.2) (layer "F.Cu") (net 21) (tstamp 94df029f-5068-4499-baeb-954909cf8d14)) + (segment (start 115.047838 78.7) (end 115.2 78.852162) (width 0.2) (layer "F.Cu") (net 21) (tstamp bbba3c1f-0454-4e1d-8685-e565119cc9d0)) + (via (at 113.4 78.7) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 21) (tstamp c49c0af0-2fdf-400a-a594-807d60b52d27)) + (via (at 110.5 97.3) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 21) (tstamp dc2926a2-b2da-47d2-a902-132918716044)) + (segment (start 109.3 96.1) (end 110.5 97.3) (width 0.2) (layer "B.Cu") (net 21) (tstamp 1210acc9-7110-451e-876c-3fbee0750746)) + (segment (start 109.300001 83.234993) (end 109.3 96.1) (width 0.2) (layer "B.Cu") (net 21) (tstamp 27bc2fe0-912e-40ea-9dbe-40543e74b495)) + (segment (start 113.4 78.7) (end 113.4 79.747838) (width 0.2) (layer "B.Cu") (net 21) (tstamp 2b530ec1-d69f-46a3-a482-48b73f150ab3)) + (segment (start 113.4 79.747838) (end 112.948327 80.199511) (width 0.2) (layer "B.Cu") (net 21) (tstamp 3262048a-ae23-4f0b-b73d-8849f93c54ba)) + (segment (start 112.948327 80.199511) (end 112.335483 80.199511) (width 0.2) (layer "B.Cu") (net 21) (tstamp b83d7e14-14da-4bb7-8356-2835cb22505c)) + (segment (start 112.335483 80.199511) (end 109.300001 83.234993) (width 0.2) (layer "B.Cu") (net 21) (tstamp f67de1d0-c6fe-45a6-8544-006cd7c25d4f)) + (segment (start 111.7 97.3) (end 111.7 98.825) (width 0.2) (layer "F.Cu") (net 22) (tstamp 23ebd8f5-a7a4-44a6-8573-af05713bf24d)) + (segment (start 115.6 78.687156) (end 115.6 79.8625) (width 0.2) (layer "F.Cu") (net 22) (tstamp 5b8782d1-8457-4c6b-9e6c-d8269ca3617c)) + (segment (start 113.911829 78.085805) (end 114.126504 78.30048) (width 0.2) (layer "F.Cu") (net 22) (tstamp 8cf90fa2-9923-4191-955c-16eee1d5441d)) + (segment (start 114.126504 78.30048) (end 115.213325 78.300481) (width 0.2) (layer "F.Cu") (net 22) (tstamp af791632-516b-4522-a96f-d9ad2f006cc1)) + (segment (start 115.213325 78.300481) (end 115.6 78.687156) (width 0.2) (layer "F.Cu") (net 22) (tstamp e135ed04-df9f-4966-b40e-c5d7ebb1acb3)) + (via (at 113.911829 78.085805) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 22) (tstamp 787bae38-3bc9-4519-a112-09b7d4e0c67f)) + (via (at 111.7 97.3) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 22) (tstamp daf46fb5-e267-4a77-991e-53121a331823)) + (segment (start 113.911829 78.085805) (end 114.3 78.473976) (width 0.2) (layer "B.Cu") (net 22) (tstamp 2a7c52e2-28b7-4de8-bd52-ede52772f7ef)) + (segment (start 113.2 80.7) (end 112.4 80.7) (width 0.2) (layer "B.Cu") (net 22) (tstamp 6db439f3-87d8-4c4d-8d1c-96f0a501150f)) + (segment (start 109.69952 95.29952) (end 111.7 97.3) (width 0.2) (layer "B.Cu") (net 22) (tstamp 77a4b34c-8ca5-4cd4-abae-49d092c8bead)) + (segment (start 114.3 78.473976) (end 114.3 79.6) (width 0.2) (layer "B.Cu") (net 22) (tstamp a4357132-d03a-4af4-bd71-ddada59c10f6)) + (segment (start 109.69952 83.40048) (end 109.69952 95.29952) (width 0.2) (layer "B.Cu") (net 22) (tstamp c86334b8-76c7-44a7-af3e-8946d5dc2804)) + (segment (start 112.4 80.7) (end 109.69952 83.40048) (width 0.2) (layer "B.Cu") (net 22) (tstamp f1254c36-85c8-4887-9df9-0abd35d38aab)) + (segment (start 114.3 79.6) (end 113.2 80.7) (width 0.2) (layer "B.Cu") (net 22) (tstamp fb0a20f1-2fa8-4470-95e3-d347cdc9120a)) + (segment (start 116 78.52215) (end 116 79.8625) (width 0.2) (layer "F.Cu") (net 23) (tstamp 4e5be2c5-e112-4a00-9ea2-289e6bcdf8c2)) + (segment (start 113 88.9) (end 113 91.655) (width 0.2) (layer "F.Cu") (net 23) (tstamp 6122e457-c07e-4177-bda7-1377b93127a8)) + (segment (start 114.609637 77.695591) (end 114.815007 77.900961) (width 0.2) (layer "F.Cu") (net 23) (tstamp c4580217-e0fc-429f-98a1-2beb1a2f65cb)) + (segment (start 115.378812 77.900962) (end 116 78.52215) (width 0.2) (layer "F.Cu") (net 23) (tstamp c88e329d-41da-45ca-a023-c5862cbebc39)) + (segment (start 114.815007 77.900961) (end 115.378812 77.900962) (width 0.2) (layer "F.Cu") (net 23) (tstamp ca3d137a-08a3-432b-ab99-53f1acf12639)) + (via (at 114.609637 77.695591) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 23) (tstamp a7ae8e82-95c8-4bb8-b506-780f93ebfdcd)) + (via (at 113 88.9) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 23) (tstamp b1bfe953-34c1-4f78-8cb7-c2e5d4be94e8)) + (segment (start 113 88.9) (end 113 82.9) (width 0.2) (layer "B.Cu") (net 23) (tstamp 2246dca1-622c-4320-b6b4-0af1b43b6da3)) + (segment (start 113.55 82.35) (end 113.55 81.45) (width 0.2) (layer "B.Cu") (net 23) (tstamp 6e339f81-54d7-4de9-9db2-c6b62a060840)) + (segment (start 115 78.085954) (end 114.609637 77.695591) (width 0.2) (layer "B.Cu") (net 23) (tstamp 7d145248-038c-49c3-83ad-275c0375f4d1)) + (segment (start 113 82.9) (end 113.55 82.35) (width 0.2) (layer "B.Cu") (net 23) (tstamp ae97a401-5095-4313-9843-9f5d36b27206)) + (segment (start 113.55 81.45) (end 115 80) (width 0.2) (layer "B.Cu") (net 23) (tstamp c4a8f761-fe1d-4f04-a268-b8762241e911)) + (segment (start 115 80) (end 115 78.085954) (width 0.2) (layer "B.Cu") (net 23) (tstamp d207dfc7-6db0-4dc1-8844-392e5d8f261d)) + (segment (start 116.012836 77.687164) (end 116.4 78.074328) (width 0.2) (layer "F.Cu") (net 24) (tstamp 48b3696e-1342-4c6f-9e4e-765d258ed2ac)) + (segment (start 112.273911 89.726089) (end 111.745 90.255) (width 0.2) (layer "F.Cu") (net 24) (tstamp 6e296b1f-6d4c-41bc-a760-e66f4871a35b)) + (segment (start 111.745 90.255) (end 111.745 91.67) (width 0.2) (layer "F.Cu") (net 24) (tstamp 75156c4a-7f79-4690-a9d5-e90f88c341f1)) + (segment (start 116.4 78.074328) (end 116.4 79.8625) (width 0.2) (layer "F.Cu") (net 24) (tstamp 83086506-3a87-402d-ae4d-a18091c4acea)) + (via (at 116.012836 77.687164) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 24) (tstamp 3439e36a-fb6d-4c2d-90b0-91fc2218d0f8)) + (via (at 112.273911 89.726089) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 24) (tstamp 9dbcf945-2d69-4a57-aa05-d12bf7454f2d)) + (segment (start 113.6 82.865006) (end 113.6 89.423919) (width 0.2) (layer "B.Cu") (net 24) (tstamp 12250212-2969-437d-b021-6f960a583b37)) + (segment (start 113.223919 89.8) (end 112.347822 89.8) (width 0.2) (layer "B.Cu") (net 24) (tstamp 18dd959c-80c9-49f7-9230-82076dcf0eb8)) + (segment (start 116.012836 77.687164) (end 116.012836 79.687164) (width 0.2) (layer "B.Cu") (net 24) (tstamp 1f70f09f-410e-4b6f-b935-adc069e00609)) + (segment (start 114 81.7) (end 114 82.465006) (width 0.2) (layer "B.Cu") (net 24) (tstamp 3296f258-7efb-453d-a758-148779aa4f3c)) + (segment (start 116.012836 79.687164) (end 114 81.7) (width 0.2) (layer "B.Cu") (net 24) (tstamp 40fc2b82-de8d-4799-99f9-2d3074aaeab5)) + (segment (start 114 82.465006) (end 113.6 82.865006) (width 0.2) (layer "B.Cu") (net 24) (tstamp 5637a7e3-22ce-4f23-8525-a4932dd9eb60)) + (segment (start 113.6 89.423919) (end 113.223919 89.8) (width 0.2) (layer "B.Cu") (net 24) (tstamp bf17ccb0-0132-4d98-9d93-422f77f90a20)) + (segment (start 112.347822 89.8) (end 112.273911 89.726089) (width 0.2) (layer "B.Cu") (net 24) (tstamp d84c808c-b148-41b6-b288-0f2d47f14fa8)) + (segment (start 116.8 77.552162) (end 116.8 79.8625) (width 0.2) (layer "F.Cu") (net 25) (tstamp 2f41fe18-840c-4468-895e-cae0463a2db4)) + (segment (start 111.3 89.6) (end 110.475 90.425) (width 0.2) (layer "F.Cu") (net 25) (tstamp 88226a9a-bb8e-46df-b5d5-e2a41da5a280)) + (segment (start 115.3 77.1) (end 115.399511 77.000489) (width 0.2) (layer "F.Cu") (net 25) (tstamp 8bcb48db-661f-46cb-b1c0-30e57d444c26)) + (segment (start 115.399511 77.000489) (end 116.248327 77.000489) (width 0.2) (layer "F.Cu") (net 25) (tstamp 954c446e-6338-453a-8308-b18f4a7f21dd)) + (segment (start 110.475 90.425) (end 110.475 91.67) (width 0.2) (layer "F.Cu") (net 25) (tstamp cd996138-a88e-45f5-b62d-3407cc23d054)) + (segment (start 116.248327 77.000489) (end 116.8 77.552162) (width 0.2) (layer "F.Cu") (net 25) (tstamp ef1e1896-25a3-4396-9472-46dbdc5ddb24)) + (via (at 115.3 77.1) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 25) (tstamp 9fbcf60b-69de-4da6-b2b8-4461d451e106)) + (via (at 111.3 89.6) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 25) (tstamp c09facd3-129e-4a4b-8a93-5086751a69c7)) + (segment (start 114.1 82.930012) (end 114.465006 82.565006) (width 0.2) (layer "B.Cu") (net 25) (tstamp 0bfabcfb-ba42-4cf2-ba06-2d4b89e842c6)) + (segment (start 111.3 89.6) (end 112.199511 90.499511) (width 0.2) (layer "B.Cu") (net 25) (tstamp 28941c24-1990-411d-a188-b895cf91a2c2)) + (segment (start 114.465006 81.834994) (end 116.799038 79.500962) (width 0.2) (layer "B.Cu") (net 25) (tstamp 37bda370-6f0d-4196-a738-1d09c54f77a5)) + (segment (start 112.199511 90.499511) (end 113.500489 90.499511) (width 0.2) (layer "B.Cu") (net 25) (tstamp 44c6c175-b5e1-4e33-9ae5-5ef438ac1dc7)) + (segment (start 114.465006 82.565006) (end 114.465006 81.834994) (width 0.2) (layer "B.Cu") (net 25) (tstamp 5ed12a9f-9306-4739-b515-81d7278bae68)) + (segment (start 114.1 89.9) (end 114.1 82.930012) (width 0.2) (layer "B.Cu") (net 25) (tstamp 7c4d6a9a-7059-4966-b844-75efd0345128)) + (segment (start 116.799038 77.5512) (end 116.248327 77.000489) (width 0.2) (layer "B.Cu") (net 25) (tstamp 9eb42dd8-270b-49af-ae77-582a6436c174)) + (segment (start 116.799038 79.500962) (end 116.799038 77.5512) (width 0.2) (layer "B.Cu") (net 25) (tstamp e03df2cb-faa0-4ab5-875f-540157753e35)) + (segment (start 115.399511 77.000489) (end 115.3 77.1) (width 0.2) (layer "B.Cu") (net 25) (tstamp f192eec4-a645-48a1-b748-ed28a1719ba3)) + (segment (start 116.248327 77.000489) (end 115.399511 77.000489) (width 0.2) (layer "B.Cu") (net 25) (tstamp f1ec7cdc-25a7-4f88-86f9-2240db98a892)) + (segment (start 113.500489 90.499511) (end 114.1 89.9) (width 0.2) (layer "B.Cu") (net 25) (tstamp f2a0e67a-773c-4853-80ae-39ca00805322)) + (segment (start 110.1 65.25) (end 108.95 65.25) (width 0.4) (layer "F.Cu") (net 26) (tstamp 58e09a03-2640-40ab-a0e2-32c17ebd36ad)) + (segment (start 108.975 57.825) (end 115.4 57.825) (width 0.4) (layer "F.Cu") (net 26) (tstamp 5d2df88a-2026-4488-a224-c1509a8ff1c6)) + (segment (start 108.4 64.7) (end 108.4 58.4) (width 0.4) (layer "F.Cu") (net 26) (tstamp 60afa512-5849-489a-bb7e-5eae7b530d2f)) + (segment (start 108.4 58.4) (end 108.975 57.825) (width 0.4) (layer "F.Cu") (net 26) (tstamp 6f5ae08f-3f04-4606-accc-32e0c91a1734)) + (segment (start 108.95 65.25) (end 108.4 64.7) (width 0.4) (layer "F.Cu") (net 26) (tstamp b0132d3a-4284-43e2-afde-22d14e37048f)) + (segment (start 117.6 54.6125) (end 115.7625 54.6125) (width 0.4) (layer "F.Cu") (net 27) (tstamp ac1d82fa-8732-4ab6-adfe-8401dd608b82)) + (segment (start 118.4 71.9) (end 117.7 71.2) (width 0.2) (layer "F.Cu") (net 28) (tstamp 89cb0507-025e-4f3b-9565-f50521990a51)) + (segment (start 118.4 79.8625) (end 118.4 71.9) (width 0.2) (layer "F.Cu") (net 28) (tstamp b5e127b0-972b-4aa5-b315-5766017b3905)) + (segment (start 118.7 62.4) (end 117.65 61.35) (width 0.2) (layer "F.Cu") (net 29) (tstamp 81e4c252-1d2a-4b8c-9395-29702f457d5a)) + (segment (start 118.275 69.625) (end 118.7 69.2) (width 0.2) (layer "F.Cu") (net 29) (tstamp a837bf3e-7400-49ea-9242-de156614c857)) + (segment (start 118.7 69.2) (end 118.7 62.4) (width 0.2) (layer "F.Cu") (net 29) (tstamp db83e69e-c683-4392-bdba-e7ec4b746138)) + (segment (start 117.7 69.625) (end 118.275 69.625) (width 0.2) (layer "F.Cu") (net 29) (tstamp e3b9c328-cf61-48ad-969e-86bde4fb8a6e)) + (segment (start 117.65 61.35) (end 116.95 61.35) (width 0.2) (layer "F.Cu") (net 29) (tstamp f3cb632a-b008-4f7e-a5d8-21c7c26e24eb)) + (segment (start 119.5 63.3) (end 119.1 63.7) (width 0.2) (layer "F.Cu") (net 30) (tstamp 55402acd-2997-485a-a9b9-eee832a78392)) + (segment (start 119.1 69.2125) (end 119.5 69.6125) (width 0.2) (layer "F.Cu") (net 30) (tstamp 69c6e42f-81ab-41ba-b9c6-0b1e68cef6f8)) + (segment (start 118.0505 63.25) (end 116.95 63.25) (width 0.2) (layer "F.Cu") (net 30) (tstamp 802c114d-5c08-48de-912b-7abf155ad4d8)) + (segment (start 119.1 63.7) (end 119.1 69.2125) (width 0.2) (layer "F.Cu") (net 30) (tstamp c1929619-da16-49c5-885c-0072149cbaff)) + (segment (start 118.1005 63.3) (end 118.0505 63.25) (width 0.2) (layer "F.Cu") (net 30) (tstamp e0e5b845-55f1-497a-a4d8-ff319ee47395)) + (via (at 119.5 63.3) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 30) (tstamp dfa99e32-31ee-4ad2-9d21-ee9261d38ae5)) + (via (at 118.1005 63.3) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 30) (tstamp e3683740-65ee-4775-92b8-e20e1519a81e)) + (segment (start 119.5 63.3) (end 118.1005 63.3) (width 0.2) (layer "B.Cu") (net 30) (tstamp 55c8b2c9-9464-4e0d-96d2-9b9f9397022b)) + (segment (start 118.8 79.8625) (end 118.8 71.8875) (width 0.2) (layer "F.Cu") (net 31) (tstamp ccfbea44-fac4-4185-a9cd-f9ebd23d5faa)) + (segment (start 118.8 71.8875) (end 119.5 71.1875) (width 0.2) (layer "F.Cu") (net 31) (tstamp cea2f9fa-4180-4f8a-a2ee-99f5f3289f04)) + (segment (start 110.1 61.25) (end 114.65 61.25) (width 0.3) (layer "F.Cu") (net 32) (tstamp 0518afaa-51ab-4a08-b553-9f8e50669e38)) + (segment (start 114.75 63.25) (end 110.1 63.25) (width 0.3) (layer "F.Cu") (net 33) (tstamp 066eefce-8c95-47c8-bbdc-122a4603dc4c)) + (segment (start 124.95 79.65) (end 124.95 82.05) (width 0.2) (layer "F.Cu") (net 46) (tstamp 1f8633be-2fc3-442d-8330-65b9137409a8)) + (segment (start 122.1 83.1) (end 120.8375 83.1) (width 0.2) (layer "F.Cu") (net 46) (tstamp 33ce3a6c-b55c-4bca-9291-6a9a2e18a4e2)) + (segment (start 122.75 82.45) (end 122.1 83.1) (width 0.2) (layer "F.Cu") (net 46) (tstamp 505fb0b0-63a3-4ed3-84de-c60908326741)) + (segment (start 124.55 82.45) (end 122.75 82.45) (width 0.2) (layer "F.Cu") (net 46) (tstamp 602ee128-44ec-4217-a5bb-49c4a5c17bff)) + (segment (start 129.4 75.2) (end 124.95 79.65) (width 0.2) (layer "F.Cu") (net 46) (tstamp 72e4d098-e456-4805-a048-dddb786aa634)) + (segment (start 129.4 74.4) (end 129.4 75.2) (width 0.2) (layer "F.Cu") (net 46) (tstamp 86fef7af-de24-4537-b177-4c6fc7ebe100)) + (segment (start 127.68 58.48) (end 128.2 59) (width 0.3) (layer "F.Cu") (net 46) (tstamp a41fb954-8a4e-4e99-9f43-3557181c13cd)) + (segment (start 124.95 82.05) (end 124.55 82.45) (width 0.2) (layer "F.Cu") (net 46) (tstamp c1256663-e02a-4b06-980d-a6a613d07d25)) + (segment (start 126.87 58.48) (end 127.68 58.48) (width 0.3) (layer "F.Cu") (net 46) (tstamp e2e6ab61-3e0d-4051-a998-349f17bd67cc)) + (via (at 128.2 59) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 46) (tstamp 7f01daa0-db03-48d8-9690-7e9c58464651)) + (via (at 129.4 74.4) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 46) (tstamp bfbf52dd-4a9f-4e93-8917-142b7fca0a72)) + (segment (start 129.4 60.2) (end 128.2 59) (width 0.3) (layer "B.Cu") (net 46) (tstamp 8d55eb7c-b024-45c0-bd59-20d0624ec901)) + (segment (start 129.4 74.4) (end 129.4 60.2) (width 0.3) (layer "B.Cu") (net 46) (tstamp cd30a1e4-aad4-478c-b793-b5ed1be7cc70)) + (segment (start 172.935978 86.775) (end 173.235 86.775) (width 0.3) (layer "B.Cu") (net 51) (tstamp 157b4ebe-7ec4-4882-9547-0934b3b430d5)) + (segment (start 151.185 87.725) (end 171.985978 87.725) (width 0.3) (layer "B.Cu") (net 51) (tstamp 6c9bd025-01ca-4452-865d-786fad7fae84)) + (segment (start 171.985978 87.725) (end 172.935978 86.775) (width 0.3) (layer "B.Cu") (net 51) (tstamp e4db60dc-3a45-4b8c-89b2-a1ea8a6d79af)) + (segment (start 117.2 86.7375) (end 117.2 89.635) (width 0.2) (layer "F.Cu") (net 52) (tstamp 763e24f6-6e23-4f89-85f1-79920d6e990f)) + (segment (start 117.2 89.635) (end 117.135 89.7) (width 0.2) (layer "F.Cu") (net 52) (tstamp d9db1f9d-2d06-4b1a-bd6e-aac2dca6d417)) + (segment (start 134.4 88.3) (end 135.7 89.6) (width 0.2) (layer "F.Cu") (net 53) (tstamp 33420eba-48fc-4176-afed-9642f8050021)) + (segment (start 135.7 89.6) (end 175.6 89.6) (width 0.2) (layer "F.Cu") (net 53) (tstamp 3ffe11e7-e8ba-4ffd-a32b-19d22f055371)) + (segment (start 176.8 74.52) (end 175.42 73.14) (width 0.2) (layer "F.Cu") (net 53) (tstamp 6b99bb89-d4c3-4554-a3b1-d8a54ead3735)) + (segment (start 175.6 89.6) (end 176.8 88.4) (width 0.2) (layer "F.Cu") (net 53) (tstamp 8f7d2108-348d-4283-b094-bd851ea41c3a)) + (segment (start 176.8 88.4) (end 176.8 74.52) (width 0.2) (layer "F.Cu") (net 53) (tstamp 914393b2-04c1-400a-bc33-00fdf3e21605)) + (segment (start 121.965006 85.1) (end 125.165006 88.3) (width 0.2) (layer "F.Cu") (net 53) (tstamp a720345b-5c18-4c29-a268-83b70ee663ed)) + (segment (start 120.8375 85.1) (end 121.965006 85.1) (width 0.2) (layer "F.Cu") (net 53) (tstamp c1a31fd1-a57b-49a5-940e-50bc6d6ca55c)) + (segment (start 125.165006 88.3) (end 134.4 88.3) (width 0.2) (layer "F.Cu") (net 53) (tstamp d586ee42-a775-4cf8-8572-a96f07ba3583)) + (segment (start 120.8375 84.7) (end 122.130012 84.7) (width 0.2) (layer "F.Cu") (net 59) (tstamp 28ac489a-f142-4788-9cc0-e4f96f0dae55)) + (segment (start 122.130012 84.7) (end 122.930012 85.5) (width 0.2) (layer "F.Cu") (net 59) (tstamp 2e5e371e-e563-4ae9-a766-9fa7184d3bd2)) + (segment (start 122.930012 85.5) (end 125.45 85.5) (width 0.2) (layer "F.Cu") (net 59) (tstamp c2031938-b20f-4d72-b3fc-d671f3b2194e)) + (via (at 125.45 85.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 59) (tstamp 2985e7dc-79a5-45b3-b6fa-b638fa21ad02)) + (segment (start 126.01 84.94) (end 126.01 73.14) (width 0.2) (layer "B.Cu") (net 59) (tstamp 4cce9df0-a2c7-444e-a5ae-a11d42cbd794)) + (segment (start 125.45 85.5) (end 126.01 84.94) (width 0.2) (layer "B.Cu") (net 59) (tstamp d14c0995-3ee5-47e6-bb93-732680c90e24)) + (segment (start 148.985978 87.725) (end 149.935978 86.775) (width 0.3) (layer "B.Cu") (net 61) (tstamp 21702b4c-3da7-4e52-92df-bb4360173c42)) + (segment (start 149.935978 86.775) (end 150.235 86.775) (width 0.3) (layer "B.Cu") (net 61) (tstamp d96887a8-e30f-4f49-bdef-f2c9c8bd3b4f)) + (segment (start 128.195 87.725) (end 148.985978 87.725) (width 0.3) (layer "B.Cu") (net 61) (tstamp f82805ab-4ab9-45d6-a2fb-98de54749107)) + (segment (start 121.8 85.5) (end 125.3 89) (width 0.2) (layer "F.Cu") (net 62) (tstamp 5abd7372-d21c-44fa-88fd-6a6421f78641)) + (segment (start 151.7 95.5) (end 154.04 97.84) (width 0.2) (layer "F.Cu") (net 62) (tstamp 6d962db0-0285-4144-9c88-6688044e94f0)) + (segment (start 120.8375 85.5) (end 121.8 85.5) (width 0.2) (layer "F.Cu") (net 62) (tstamp 9ad700ce-cbbc-46f6-98fd-c7d200488e59)) + (segment (start 125.3 89) (end 133.7 89) (width 0.2) (layer "F.Cu") (net 62) (tstamp a8dea0e0-cc45-4336-8a1a-b7c3c9cf49aa)) + (segment (start 140.2 95.5) (end 151.7 95.5) (width 0.2) (layer "F.Cu") (net 62) (tstamp b25ddb9a-65a1-48c8-be5c-5598e9026612)) + (segment (start 154.04 97.84) (end 173.71 97.84) (width 0.2) (layer "F.Cu") (net 62) (tstamp d7683d1a-808e-44b0-a5a4-7f4956eff442)) + (segment (start 133.7 89) (end 140.2 95.5) (width 0.2) (layer "F.Cu") (net 62) (tstamp d95470bd-b04b-4fac-94f3-ea410b14f284)) + (segment (start 133.3 89.6) (end 141.54 97.84) (width 0.2) (layer "F.Cu") (net 63) (tstamp 27f13999-2673-4599-b3e4-6b30b0a91f66)) + (segment (start 125.3 89.6) (end 133.3 89.6) (width 0.2) (layer "F.Cu") (net 63) (tstamp 2c322226-2d94-44f5-ba8b-d5dc0d96df6b)) + (segment (start 141.54 97.84) (end 150.71 97.84) (width 0.2) (layer "F.Cu") (net 63) (tstamp 484843fc-af62-4bbf-a940-d84a39de5ab2)) + (segment (start 121.6 85.9) (end 125.3 89.6) (width 0.2) (layer "F.Cu") (net 63) (tstamp 8c673c64-4085-41df-a7ed-a05f76f6869d)) + (segment (start 120.8375 85.9) (end 121.6 85.9) (width 0.2) (layer "F.Cu") (net 63) (tstamp b3a6d3f0-5ff7-4c6f-b4b4-4eb4fa8e234c)) + (segment (start 125.3 90.5) (end 125.3 95.42) (width 0.2) (layer "F.Cu") (net 64) (tstamp 2efe1aee-756e-426a-9aaf-4705da3a9b20)) + (segment (start 120 87.2) (end 120.3 87.5) (width 0.2) (layer "F.Cu") (net 64) (tstamp 6b853e66-561e-4194-ac5c-a7a138a2838d)) + (segment (start 122.3 87.5) (end 125.3 90.5) (width 0.2) (layer "F.Cu") (net 64) (tstamp a7bb0c51-40de-4394-bbb8-0692ba00314d)) + (segment (start 125.3 95.42) (end 127.72 97.84) (width 0.2) (layer "F.Cu") (net 64) (tstamp d72b923e-92d1-4850-80c5-056cf2744860)) + (segment (start 120 86.7375) (end 120 87.2) (width 0.2) (layer "F.Cu") (net 64) (tstamp d7ed74dc-8482-47f1-a7ae-0ba2ea6516c4)) + (segment (start 120.3 87.5) (end 122.3 87.5) (width 0.2) (layer "F.Cu") (net 64) (tstamp eb0e98ad-b69b-479f-aa89-faaa3b433a5c)) + + (zone (net 1) (net_name "GND") (layer "F.Cu") (tstamp 00000000-0000-0000-0000-00005f8df439) (hatch edge 0.508) + (connect_pads (clearance 0.508)) + (min_thickness 0.254) (filled_areas_thickness no) + (fill yes (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 107.05 50.125) + (xy 194.35 50) + (xy 194.35 109.25) + (xy 107.05 109.375) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 108.350225 50.628502) + (xy 108.396718 50.682158) + (xy 108.406822 50.752432) + (xy 108.377328 50.817012) + (xy 108.35907 50.834261) + (xy 108.336384 50.851764) + (xy 108.327917 50.863423) + (xy 108.33452 50.875309) + (xy 110.03719 52.57798) + (xy 110.051131 52.585592) + (xy 110.052966 52.585461) + (xy 110.05958 52.58121) + (xy 111.764559 50.87623) + (xy 111.771571 50.863389) + (xy 111.763777 50.852701) + (xy 111.739357 50.83345) + (xy 111.698244 50.775568) + (xy 111.69495 50.704648) + (xy 111.730522 50.643206) + (xy 111.793665 50.610749) + (xy 111.817363 50.6085) + (xy 189.486773 50.6085) + (xy 189.554894 50.628502) + (xy 189.601387 50.682158) + (xy 189.611491 50.752432) + (xy 189.588726 50.808538) + (xy 189.577917 50.823423) + (xy 189.58452 50.835309) + (xy 191.28719 52.53798) + (xy 191.301131 52.545592) + (xy 191.302966 52.545461) + (xy 191.30958 52.54121) + (xy 193.014559 50.83623) + (xy 193.021571 50.82339) + (xy 193.01089 50.808744) + (xy 192.986912 50.741919) + (xy 193.002868 50.672738) + (xy 193.053693 50.623167) + (xy 193.112693 50.6085) + (xy 193.537182 50.6085) + (xy 193.605303 50.628502) + (xy 193.626278 50.645405) + (xy 193.754596 50.773724) + (xy 193.788621 50.836036) + (xy 193.7915 50.862819) + (xy 193.7915 51.344491) + (xy 193.771498 51.412612) + (xy 193.717842 51.459105) + (xy 193.647568 51.469209) + (xy 193.582988 51.439715) + (xy 193.557553 51.40948) + (xy 193.537763 51.376609) + (xy 193.533481 51.370377) + (xy 193.397991 51.196647) + (xy 193.386199 51.188178) + (xy 193.374486 51.194725) + (xy 191.67202 52.89719) + (xy 191.664408 52.911131) + (xy 191.664539 52.912966) + (xy 191.66879 52.91958) + (xy 193.373285 54.624074) + (xy 193.386408 54.63124) + (xy 193.396709 54.623851) + (xy 193.500751 54.496055) + (xy 193.505159 54.489921) + (xy 193.558938 54.404686) + (xy 193.612205 54.357748) + (xy 193.682392 54.347059) + (xy 193.747217 54.376014) + (xy 193.786096 54.435418) + (xy 193.7915 54.471922) + (xy 193.7915 104.634491) + (xy 193.771498 104.702612) + (xy 193.717842 104.749105) + (xy 193.647568 104.759209) + (xy 193.582988 104.729715) + (xy 193.557553 104.69948) + (xy 193.537763 104.666609) + (xy 193.533481 104.660377) + (xy 193.397991 104.486647) + (xy 193.386199 104.478178) + (xy 193.374486 104.484725) + (xy 191.67202 106.18719) + (xy 191.664408 106.201131) + (xy 191.664539 106.202966) + (xy 191.66879 106.20958) + (xy 193.373285 107.914074) + (xy 193.386408 107.92124) + (xy 193.396709 107.913851) + (xy 193.500751 107.786055) + (xy 193.505159 107.779921) + (xy 193.558938 107.694686) + (xy 193.612205 107.647748) + (xy 193.682392 107.637059) + (xy 193.747217 107.666014) + (xy 193.786096 107.725418) + (xy 193.7915 107.761922) + (xy 193.7915 108.337183) + (xy 193.771498 108.405304) + (xy 193.754595 108.426278) + (xy 193.626278 108.554595) + (xy 193.563966 108.588621) + (xy 193.537183 108.5915) + (xy 193.002731 108.5915) + (xy 192.93461 108.571498) + (xy 192.888117 108.517842) + (xy 192.878013 108.447568) + (xy 192.907507 108.382988) + (xy 192.926814 108.364938) + (xy 193.014305 108.298889) + (xy 193.022761 108.287496) + (xy 193.016045 108.275256) + (xy 191.31281 106.57202) + (xy 191.298869 106.564408) + (xy 191.297034 106.564539) + (xy 191.29042 106.56879) + (xy 189.585818 108.273393) + (xy 189.578703 108.286423) + (xy 189.586228 108.296855) + (xy 189.673777 108.367373) + (xy 189.714282 108.425682) + (xy 189.716832 108.496632) + (xy 189.680619 108.557699) + (xy 189.617139 108.589493) + (xy 189.594739 108.5915) + (xy 111.752731 108.5915) + (xy 111.68461 108.571498) + (xy 111.638117 108.517842) + (xy 111.628013 108.447568) + (xy 111.657507 108.382988) + (xy 111.676814 108.364938) + (xy 111.764305 108.298889) + (xy 111.772761 108.287496) + (xy 111.766045 108.275256) + (xy 110.06281 106.57202) + (xy 110.048869 106.564408) + (xy 110.047034 106.564539) + (xy 110.04042 106.56879) + (xy 108.335818 108.273393) + (xy 108.328703 108.286423) + (xy 108.336228 108.296855) + (xy 108.423777 108.367373) + (xy 108.464282 108.425682) + (xy 108.466832 108.496632) + (xy 108.430619 108.557699) + (xy 108.367139 108.589493) + (xy 108.344739 108.5915) + (xy 107.862817 108.5915) + (xy 107.794696 108.571498) + (xy 107.773722 108.554595) + (xy 107.645405 108.426278) + (xy 107.611379 108.363966) + (xy 107.6085 108.337183) + (xy 107.6085 107.837331) + (xy 107.628502 107.76921) + (xy 107.682158 107.722717) + (xy 107.752432 107.712613) + (xy 107.817012 107.742107) + (xy 107.834663 107.760889) + (xy 107.951463 107.913934) + (xy 107.962989 107.922396) + (xy 107.975054 107.915735) + (xy 109.67798 106.21281) + (xy 109.684357 106.201131) + (xy 110.414408 106.201131) + (xy 110.414539 106.202966) + (xy 110.41879 106.20958) + (xy 112.123285 107.914074) + (xy 112.136408 107.92124) + (xy 112.146709 107.913851) + (xy 112.250751 107.786055) + (xy 112.255164 107.779914) + (xy 112.425349 107.510187) + (xy 112.429005 107.503536) + (xy 112.565544 107.215335) + (xy 112.568375 107.208295) + (xy 112.669306 106.905767) + (xy 112.67127 106.898433) + (xy 112.735122 106.585989) + (xy 112.736194 106.578465) + (xy 112.762173 106.259051) + (xy 112.762378 106.254576) + (xy 112.762927 106.202221) + (xy 112.762817 106.197789) + (xy 112.743529 105.877853) + (xy 112.742621 105.870351) + (xy 112.685319 105.556593) + (xy 112.683518 105.54926) + (xy 112.588935 105.244655) + (xy 112.586263 105.237583) + (xy 112.455781 104.94657) + (xy 112.452264 104.939843) + (xy 112.287771 104.666621) + (xy 112.283481 104.660377) + (xy 112.147991 104.486647) + (xy 112.136199 104.478178) + (xy 112.124486 104.484725) + (xy 110.42202 106.18719) + (xy 110.414408 106.201131) + (xy 109.684357 106.201131) + (xy 109.685592 106.198869) + (xy 109.685461 106.197034) + (xy 109.68121 106.19042) + (xy 107.976445 104.485656) + (xy 107.96351 104.478592) + (xy 107.952949 104.486252) + (xy 107.83304 104.636728) + (xy 107.774945 104.677537) + (xy 107.704008 104.68046) + (xy 107.642753 104.644567) + (xy 107.610626 104.581255) + (xy 107.6085 104.558205) + (xy 107.6085 104.113423) + (xy 108.327917 104.113423) + (xy 108.33452 104.125309) + (xy 110.03719 105.82798) + (xy 110.051131 105.835592) + (xy 110.052966 105.835461) + (xy 110.05958 105.83121) + (xy 111.764559 104.12623) + (xy 111.771571 104.113389) + (xy 111.763777 104.102701) + (xy 111.601298 103.974613) + (xy 111.595075 103.970288) + (xy 111.322702 103.804357) + (xy 111.316025 103.800822) + (xy 111.025686 103.668813) + (xy 111.018616 103.666099) + (xy 110.714537 103.569932) + (xy 110.707186 103.568085) + (xy 110.393746 103.509142) + (xy 110.386237 103.508194) + (xy 110.067989 103.487335) + (xy 110.060424 103.487295) + (xy 109.741964 103.504821) + (xy 109.73445 103.50569) + (xy 109.420405 103.561348) + (xy 109.413044 103.563115) + (xy 109.10798 103.656092) + (xy 109.10086 103.65874) + (xy 108.809182 103.78769) + (xy 108.802445 103.791167) + (xy 108.528355 103.954233) + (xy 108.522091 103.95849) + (xy 108.336385 104.101762) + (xy 108.327917 104.113423) + (xy 107.6085 104.113423) + (xy 107.6085 96.014669) + (xy 114.362001 96.014669) + (xy 114.362371 96.02149) + (xy 114.367895 96.072352) + (xy 114.371521 96.087604) + (xy 114.416676 96.208054) + (xy 114.425214 96.223649) + (xy 114.501715 96.325724) + (xy 114.514276 96.338285) + (xy 114.607489 96.408144) + (xy 114.650004 96.465003) + (xy 114.65503 96.535821) + (xy 114.639181 96.57509) + (xy 114.634996 96.581878) + (xy 114.628849 96.595061) + (xy 114.579509 96.743814) + (xy 114.576642 96.75719) + (xy 114.567328 96.848097) + (xy 114.567 96.854514) + (xy 114.567 96.877885) + (xy 114.571475 96.893124) + (xy 114.572865 96.894329) + (xy 114.580548 96.896) + (xy 115.252885 96.896) + (xy 115.268124 96.891525) + (xy 115.269329 96.890135) + (xy 115.271 96.882452) + (xy 115.271 96.536115) + (xy 115.266525 96.520876) + (xy 115.259487 96.514777) + (xy 115.221104 96.455051) + (xy 115.216 96.419553) + (xy 115.216 95.542115) + (xy 115.211525 95.526876) + (xy 115.210135 95.525671) + (xy 115.202452 95.524) + (xy 114.380116 95.524) + (xy 114.364877 95.528475) + (xy 114.363672 95.529865) + (xy 114.362001 95.537548) + (xy 114.362001 96.014669) + (xy 107.6085 96.014669) + (xy 107.6085 90.190217) + (xy 107.628502 90.122096) + (xy 107.682158 90.075603) + (xy 107.752432 90.065499) + (xy 107.817012 90.094993) + (xy 107.841643 90.123913) + (xy 107.931248 90.268713) + (xy 108.052298 90.389552) + (xy 108.058528 90.393392) + (xy 108.058529 90.393393) + (xy 108.156852 90.454) + (xy 108.197899 90.479302) + (xy 108.360243 90.533149) + (xy 108.367085 90.53385) + (xy 108.36964 90.534112) + (xy 108.371115 90.534714) + (xy 108.373814 90.535293) + (xy 108.373711 90.535774) + (xy 108.435369 90.560951) + (xy 108.476152 90.619064) + (xy 108.479043 90.690002) + (xy 108.465258 90.723591) + (xy 108.445855 90.756399) + (xy 108.399438 90.916169) + (xy 108.3965 90.953498) + (xy 108.3965 92.386502) + (xy 108.399438 92.423831) + (xy 108.414268 92.474877) + (xy 108.441628 92.56905) + (xy 108.445855 92.583601) + (xy 108.449892 92.590427) + (xy 108.526509 92.71998) + (xy 108.526511 92.719983) + (xy 108.530547 92.726807) + (xy 108.648193 92.844453) + (xy 108.655017 92.848489) + (xy 108.65502 92.848491) + (xy 108.753359 92.906648) + (xy 108.791399 92.929145) + (xy 108.79901 92.931356) + (xy 108.799012 92.931357) + (xy 108.851231 92.946528) + (xy 108.951169 92.975562) + (xy 108.957574 92.976066) + (xy 108.957579 92.976067) + (xy 108.986042 92.978307) + (xy 108.98605 92.978307) + (xy 108.988498 92.9785) + (xy 109.421502 92.9785) + (xy 109.42395 92.978307) + (xy 109.423958 92.978307) + (xy 109.452421 92.976067) + (xy 109.452426 92.976066) + (xy 109.458831 92.975562) + (xy 109.558769 92.946528) + (xy 109.610988 92.931357) + (xy 109.61099 92.931356) + (xy 109.618601 92.929145) + (xy 109.761807 92.844453) + (xy 109.764489 92.841771) + (xy 109.828861 92.816498) + (xy 109.898484 92.8304) + (xy 109.914312 92.840572) + (xy 109.918193 92.844453) + (xy 110.061399 92.929145) + (xy 110.06901 92.931356) + (xy 110.069012 92.931357) + (xy 110.121231 92.946528) + (xy 110.221169 92.975562) + (xy 110.227574 92.976066) + (xy 110.227579 92.976067) + (xy 110.256042 92.978307) + (xy 110.25605 92.978307) + (xy 110.258498 92.9785) + (xy 110.691502 92.9785) + (xy 110.69395 92.978307) + (xy 110.693958 92.978307) + (xy 110.722421 92.976067) + (xy 110.722426 92.976066) + (xy 110.728831 92.975562) + (xy 110.828769 92.946528) + (xy 110.880988 92.931357) + (xy 110.88099 92.931356) + (xy 110.888601 92.929145) + (xy 111.031807 92.844453) + (xy 111.034489 92.841771) + (xy 111.098861 92.816498) + (xy 111.168484 92.8304) + (xy 111.184312 92.840572) + (xy 111.188193 92.844453) + (xy 111.331399 92.929145) + (xy 111.33901 92.931356) + (xy 111.339012 92.931357) + (xy 111.391231 92.946528) + (xy 111.491169 92.975562) + (xy 111.497574 92.976066) + (xy 111.497579 92.976067) + (xy 111.526042 92.978307) + (xy 111.52605 92.978307) + (xy 111.528498 92.9785) + (xy 111.961502 92.9785) + (xy 111.96395 92.978307) + (xy 111.963958 92.978307) + (xy 111.992421 92.976067) + (xy 111.992426 92.976066) + (xy 111.998831 92.975562) + (xy 112.098769 92.946528) + (xy 112.150988 92.931357) + (xy 112.15099 92.931356) + (xy 112.158601 92.929145) + (xy 112.301807 92.844453) + (xy 112.304489 92.841771) + (xy 112.368861 92.816498) + (xy 112.438484 92.8304) + (xy 112.454312 92.840572) + (xy 112.458193 92.844453) + (xy 112.601399 92.929145) + (xy 112.60901 92.931356) + (xy 112.609012 92.931357) + (xy 112.661231 92.946528) + (xy 112.761169 92.975562) + (xy 112.767574 92.976066) + (xy 112.767579 92.976067) + (xy 112.796042 92.978307) + (xy 112.79605 92.978307) + (xy 112.798498 92.9785) + (xy 113.231502 92.9785) + (xy 113.23395 92.978307) + (xy 113.233958 92.978307) + (xy 113.262421 92.976067) + (xy 113.262426 92.976066) + (xy 113.268831 92.975562) + (xy 113.368769 92.946528) + (xy 113.420988 92.931357) + (xy 113.42099 92.931356) + (xy 113.428601 92.929145) + (xy 113.466641 92.906648) + (xy 113.56498 92.848491) + (xy 113.564983 92.848489) + (xy 113.571807 92.844453) + (xy 113.577416 92.838844) + (xy 113.583675 92.833989) + (xy 113.584708 92.835321) + (xy 113.638717 92.805829) + (xy 113.709532 92.810894) + (xy 113.766368 92.853441) + (xy 113.791179 92.919961) + (xy 113.7915 92.92895) + (xy 113.7915 93.850886) + (xy 113.790422 93.867329) + (xy 113.78625 93.899022) + (xy 113.7915 93.938902) + (xy 113.7915 93.938907) + (xy 113.801742 94.016705) + (xy 113.807162 94.057873) + (xy 113.868476 94.205898) + (xy 113.873503 94.212449) + (xy 113.873504 94.212451) + (xy 113.94152 94.301091) + (xy 113.941526 94.301097) + (xy 113.966013 94.333009) + (xy 113.972568 94.338039) + (xy 113.991379 94.352474) + (xy 114.00377 94.363341) + (xy 114.236663 94.596234) + (xy 114.24753 94.608625) + (xy 114.266991 94.633987) + (xy 114.298903 94.658474) + (xy 114.298906 94.658477) + (xy 114.312706 94.669066) + (xy 114.354572 94.726405) + (xy 114.362 94.769027) + (xy 114.362 94.997885) + (xy 114.366475 95.013124) + (xy 114.367865 95.014329) + (xy 114.375548 95.016) + (xy 115.598 95.016) + (xy 115.666121 95.036002) + (xy 115.712614 95.089658) + (xy 115.724 95.142) + (xy 115.724 96.108885) + (xy 115.728475 96.124124) + (xy 115.735513 96.130223) + (xy 115.773896 96.189949) + (xy 115.779 96.225447) + (xy 115.779 98.114885) + (xy 115.783475 98.130124) + (xy 115.784865 98.131329) + (xy 115.792548 98.133) + (xy 115.795438 98.133) + (xy 115.801953 98.132663) + (xy 115.894057 98.123106) + (xy 115.907456 98.120212) + (xy 116.056107 98.070619) + (xy 116.069286 98.064445) + (xy 116.202173 97.982212) + (xy 116.219311 97.968629) + (xy 116.220841 97.970559) + (xy 116.27288 97.942097) + (xy 116.343699 97.947113) + (xy 116.380617 97.970799) + (xy 116.381372 97.969843) + (xy 116.387118 97.974381) + (xy 116.392298 97.979552) + (xy 116.398528 97.983392) + (xy 116.398529 97.983393) + (xy 116.53002 98.064445) + (xy 116.537899 98.069302) + (xy 116.700243 98.123149) + (xy 116.70708 98.123849) + (xy 116.707082 98.12385) + (xy 116.748401 98.128083) + (xy 116.801268 98.1335) + (xy 117.348732 98.1335) + (xy 117.351978 98.133163) + (xy 117.351982 98.133163) + (xy 117.386083 98.129625) + (xy 117.451019 98.122887) + (xy 117.530991 98.096206) + (xy 117.606324 98.071073) + (xy 117.606326 98.071072) + (xy 117.613268 98.068756) + (xy 117.627187 98.060143) + (xy 117.752485 97.982606) + (xy 117.758713 97.978752) + (xy 117.763887 97.973569) + (xy 117.763892 97.973565) + (xy 117.766329 97.971124) + (xy 117.768406 97.969988) + (xy 117.769623 97.969023) + (xy 117.769788 97.969231) + (xy 117.828612 97.937045) + (xy 117.899432 97.94205) + (xy 117.956304 97.984548) + (xy 117.981171 98.051047) + (xy 117.9815 98.060143) + (xy 117.9815 98.444774) + (xy 117.961498 98.512895) + (xy 117.934453 98.54297) + (xy 117.885783 98.582102) + (xy 117.880975 98.585968) + (xy 117.753846 98.737474) + (xy 117.750879 98.742872) + (xy 117.750875 98.742877) + (xy 117.735141 98.771498) + (xy 117.658567 98.910787) + (xy 117.598765 99.099306) + (xy 117.576719 99.295851) + (xy 117.577235 99.301995) + (xy 117.582922 99.369717) + (xy 117.593268 99.492934) + (xy 117.647783 99.68305) + (xy 117.650602 99.688535) + (xy 117.69946 99.783601) + (xy 117.738187 99.858956) + (xy 117.861035 100.013953) + (xy 117.865728 100.017947) + (xy 117.865729 100.017948) + (xy 118.005309 100.136739) + (xy 118.01165 100.142136) + (xy 118.184294 100.238624) + (xy 118.372392 100.29974) + (xy 118.568777 100.323158) + (xy 118.574912 100.322686) + (xy 118.574914 100.322686) + (xy 118.75983 100.308457) + (xy 118.759834 100.308456) + (xy 118.765972 100.307984) + (xy 118.956463 100.254798) + (xy 118.961967 100.252018) + (xy 118.961969 100.252017) + (xy 119.127495 100.168404) + (xy 119.127497 100.168403) + (xy 119.132996 100.165625) + (xy 119.187929 100.122707) + (xy 119.253921 100.09653) + (xy 119.323591 100.110187) + (xy 119.374818 100.159343) + (xy 119.3915 100.221997) + (xy 119.3915 103.0655) + (xy 119.371498 103.133621) + (xy 119.317842 103.180114) + (xy 119.2655 103.1915) + (xy 117.751866 103.1915) + (xy 117.689684 103.198255) + (xy 117.583728 103.237976) + (xy 117.512923 103.243159) + (xy 117.450554 103.209238) + (xy 117.416425 103.146983) + (xy 117.4135 103.119994) + (xy 117.413499 102.831249) + (xy 117.413499 102.828366) + (xy 117.413234 102.825474) + (xy 117.407364 102.761592) + (xy 117.406753 102.754938) + (xy 117.404752 102.748554) + (xy 117.357744 102.59855) + (xy 117.357743 102.598548) + (xy 117.355472 102.591301) + (xy 117.266639 102.444619) + (xy 117.145381 102.323361) + (xy 116.998699 102.234528) + (xy 116.991452 102.232257) + (xy 116.99145 102.232256) + (xy 116.925164 102.211483) + (xy 116.835062 102.183247) + (xy 116.761635 102.1765) + (xy 116.758737 102.1765) + (xy 116.42914 102.176501) + (xy 116.098366 102.176501) + (xy 116.095508 102.176764) + (xy 116.095499 102.176764) + (xy 116.059996 102.180026) + (xy 116.024938 102.183247) + (xy 116.01856 102.185246) + (xy 116.018559 102.185246) + (xy 115.86855 102.232256) + (xy 115.868548 102.232257) + (xy 115.861301 102.234528) + (xy 115.714619 102.323361) + (xy 115.593361 102.444619) + (xy 115.504528 102.591301) + (xy 115.453247 102.754938) + (xy 115.4465 102.828365) + (xy 115.446501 102.974418) + (xy 115.446501 103.108382) + (xy 115.426499 103.176502) + (xy 115.372844 103.222995) + (xy 115.30257 103.2331) + (xy 115.254385 103.215642) + (xy 115.196747 103.180114) + (xy 115.115692 103.130151) + (xy 115.083852 103.11959) + (xy 114.961262 103.078928) + (xy 114.96126 103.078928) + (xy 114.954731 103.076762) + (xy 114.854572 103.0665) + (xy 114.245428 103.0665) + (xy 114.242182 103.066837) + (xy 114.242178 103.066837) + (xy 114.205323 103.070661) + (xy 114.170022 103.074324) + (xy 114.100202 103.061459) + (xy 114.067924 103.038092) + (xy 111.422486 100.392654) + (xy 111.38846 100.330342) + (xy 111.393525 100.259527) + (xy 111.436072 100.202691) + (xy 111.502592 100.17788) + (xy 111.521461 100.177947) + (xy 111.526026 100.178306) + (xy 111.52604 100.178307) + (xy 111.528498 100.1785) + (xy 111.961502 100.1785) + (xy 111.96395 100.178307) + (xy 111.963958 100.178307) + (xy 111.992421 100.176067) + (xy 111.992426 100.176066) + (xy 111.998831 100.175562) + (xy 112.113885 100.142136) + (xy 112.150988 100.131357) + (xy 112.15099 100.131356) + (xy 112.158601 100.129145) + (xy 112.301807 100.044453) + (xy 112.304747 100.041513) + (xy 112.369271 100.016179) + (xy 112.438894 100.03008) + (xy 112.45764 100.042129) + (xy 112.465323 100.048089) + (xy 112.594779 100.124648) + (xy 112.60921 100.130893) + (xy 112.743605 100.169939) + (xy 112.757706 100.169899) + (xy 112.761 100.16263) + (xy 112.761 100.156878) + (xy 113.269 100.156878) + (xy 113.272973 100.170409) + (xy 113.280871 100.171544) + (xy 113.42079 100.130893) + (xy 113.435221 100.124648) + (xy 113.564678 100.048089) + (xy 113.577104 100.038449) + (xy 113.683449 99.932104) + (xy 113.693089 99.919678) + (xy 113.769648 99.790221) + (xy 113.775893 99.77579) + (xy 113.818269 99.629935) + (xy 113.82057 99.617333) + (xy 113.822807 99.588916) + (xy 113.823 99.583986) + (xy 113.823 99.142115) + (xy 113.818525 99.126876) + (xy 113.817135 99.125671) + (xy 113.809452 99.124) + (xy 113.287115 99.124) + (xy 113.271876 99.128475) + (xy 113.270671 99.129865) + (xy 113.269 99.137548) + (xy 113.269 100.156878) + (xy 112.761 100.156878) + (xy 112.761 98.597885) + (xy 113.269 98.597885) + (xy 113.273475 98.613124) + (xy 113.274865 98.614329) + (xy 113.282548 98.616) + (xy 113.804884 98.616) + (xy 113.820123 98.611525) + (xy 113.821328 98.610135) + (xy 113.822999 98.602452) + (xy 113.822999 98.156017) + (xy 113.822805 98.15108) + (xy 113.820569 98.122657) + (xy 113.81827 98.110069) + (xy 113.775893 97.96421) + (xy 113.769648 97.949779) + (xy 113.693089 97.820322) + (xy 113.683449 97.807896) + (xy 113.577104 97.701551) + (xy 113.564678 97.691911) + (xy 113.435221 97.615352) + (xy 113.42079 97.609107) + (xy 113.286395 97.570061) + (xy 113.272294 97.570101) + (xy 113.269 97.57737) + (xy 113.269 98.597885) + (xy 112.761 98.597885) + (xy 112.761 97.583122) + (xy 112.757027 97.569591) + (xy 112.749129 97.568456) + (xy 112.654287 97.59601) + (xy 112.583291 97.595807) + (xy 112.523674 97.557253) + (xy 112.494366 97.492589) + (xy 112.49436 97.457477) + (xy 112.496052 97.445438) + (xy 114.567 97.445438) + (xy 114.567337 97.451953) + (xy 114.576894 97.544057) + (xy 114.579788 97.557456) + (xy 114.629381 97.706107) + (xy 114.635555 97.719286) + (xy 114.717788 97.852173) + (xy 114.726824 97.863574) + (xy 114.837429 97.973986) + (xy 114.84884 97.982998) + (xy 114.98188 98.065004) + (xy 114.995061 98.071151) + (xy 115.143814 98.120491) + (xy 115.15719 98.123358) + (xy 115.248097 98.132672) + (xy 115.253126 98.132929) + (xy 115.268124 98.128525) + (xy 115.269329 98.127135) + (xy 115.271 98.119452) + (xy 115.271 97.422115) + (xy 115.266525 97.406876) + (xy 115.265135 97.405671) + (xy 115.257452 97.404) + (xy 114.585115 97.404) + (xy 114.569876 97.408475) + (xy 114.568671 97.409865) + (xy 114.567 97.417548) + (xy 114.567 97.445438) + (xy 112.496052 97.445438) + (xy 112.512748 97.32664) + (xy 112.512748 97.326635) + (xy 112.513299 97.322717) + (xy 112.513616 97.3) + (xy 112.493397 97.119745) + (xy 112.489614 97.108882) + (xy 112.436064 96.955106) + (xy 112.436062 96.955103) + (xy 112.433745 96.948448) + (xy 112.415435 96.919145) + (xy 112.341359 96.800598) + (xy 112.337626 96.794624) + (xy 112.301934 96.758682) + (xy 112.214778 96.670915) + (xy 112.214774 96.670912) + (xy 112.209815 96.665918) + (xy 112.198697 96.658862) + (xy 112.130777 96.615759) + (xy 112.056666 96.568727) + (xy 111.965081 96.536115) + (xy 111.892425 96.510243) + (xy 111.89242 96.510242) + (xy 111.88579 96.507881) + (xy 111.878802 96.507048) + (xy 111.878799 96.507047) + (xy 111.727861 96.489049) + (xy 111.70568 96.486404) + (xy 111.698677 96.48714) + (xy 111.698676 96.48714) + (xy 111.532288 96.504628) + (xy 111.532286 96.504629) + (xy 111.525288 96.505364) + (xy 111.353579 96.563818) + (xy 111.294358 96.600251) + (xy 111.205095 96.655166) + (xy 111.205092 96.655168) + (xy 111.199088 96.658862) + (xy 111.188977 96.668764) + (xy 111.126314 96.702135) + (xy 111.055555 96.696331) + (xy 111.021338 96.674005) + (xy 111.020271 96.675332) + (xy 111.014777 96.670915) + (xy 111.009815 96.665918) + (xy 110.998697 96.658862) + (xy 110.930777 96.615759) + (xy 110.856666 96.568727) + (xy 110.765081 96.536115) + (xy 110.692425 96.510243) + (xy 110.69242 96.510242) + (xy 110.68579 96.507881) + (xy 110.678802 96.507048) + (xy 110.678799 96.507047) + (xy 110.527861 96.489049) + (xy 110.50568 96.486404) + (xy 110.498677 96.48714) + (xy 110.498676 96.48714) + (xy 110.332288 96.504628) + (xy 110.332286 96.504629) + (xy 110.325288 96.505364) + (xy 110.153579 96.563818) + (xy 110.094358 96.600251) + (xy 110.005095 96.655166) + (xy 110.005092 96.655168) + (xy 109.999088 96.658862) + (xy 109.994053 96.663793) + (xy 109.99405 96.663795) + (xy 109.938278 96.718412) + (xy 109.875613 96.751783) + (xy 109.804854 96.745977) + (xy 109.760715 96.717173) + (xy 109.714783 96.670919) + (xy 109.714774 96.670912) + (xy 109.709815 96.665918) + (xy 109.698697 96.658862) + (xy 109.630777 96.615759) + (xy 109.556666 96.568727) + (xy 109.465081 96.536115) + (xy 109.392425 96.510243) + (xy 109.39242 96.510242) + (xy 109.38579 96.507881) + (xy 109.378802 96.507048) + (xy 109.378799 96.507047) + (xy 109.227861 96.489049) + (xy 109.20568 96.486404) + (xy 109.198677 96.48714) + (xy 109.198676 96.48714) + (xy 109.032288 96.504628) + (xy 109.032286 96.504629) + (xy 109.025288 96.505364) + (xy 108.853579 96.563818) + (xy 108.794358 96.600251) + (xy 108.705095 96.655166) + (xy 108.705092 96.655168) + (xy 108.699088 96.658862) + (xy 108.694053 96.663793) + (xy 108.69405 96.663795) + (xy 108.574525 96.780843) + (xy 108.569493 96.785771) + (xy 108.471235 96.938238) + (xy 108.468826 96.944858) + (xy 108.468824 96.944861) + (xy 108.411606 97.102066) + (xy 108.409197 97.108685) + (xy 108.386463 97.28864) + (xy 108.404163 97.46916) + (xy 108.461418 97.641273) + (xy 108.507059 97.716635) + (xy 108.50877 97.719461) + (xy 108.526949 97.788091) + (xy 108.509447 97.848871) + (xy 108.445855 97.956399) + (xy 108.443644 97.96401) + (xy 108.443643 97.964012) + (xy 108.437677 97.984548) + (xy 108.399438 98.116169) + (xy 108.398934 98.122574) + (xy 108.398933 98.122579) + (xy 108.398074 98.1335) + (xy 108.3965 98.153498) + (xy 108.3965 99.586502) + (xy 108.396693 99.58895) + (xy 108.396693 99.588958) + (xy 108.398927 99.617333) + (xy 108.399438 99.623831) + (xy 108.409981 99.66012) + (xy 108.443586 99.77579) + (xy 108.445855 99.783601) + (xy 108.449892 99.790427) + (xy 108.526509 99.91998) + (xy 108.526511 99.919983) + (xy 108.530547 99.926807) + (xy 108.648193 100.044453) + (xy 108.655016 100.048488) + (xy 108.65502 100.048491) + (xy 108.726 100.090468) + (xy 108.791399 100.129145) + (xy 108.79901 100.131356) + (xy 108.799012 100.131357) + (xy 108.836115 100.142136) + (xy 108.951169 100.175562) + (xy 108.957574 100.176066) + (xy 108.957579 100.176067) + (xy 108.986042 100.178307) + (xy 108.98605 100.178307) + (xy 108.988498 100.1785) + (xy 109.421502 100.1785) + (xy 109.423953 100.178307) + (xy 109.423981 100.178306) + (xy 109.424551 100.178261) + (xy 109.424641 100.17828) + (xy 109.426447 100.178209) + (xy 109.426465 100.178663) + (xy 109.494029 100.192867) + (xy 109.523513 100.214779) + (xy 113.532358 104.223624) + (xy 113.566384 104.285936) + (xy 113.56859 104.299715) + (xy 113.577022 104.380982) + (xy 113.630692 104.541849) + (xy 113.719929 104.686055) + (xy 113.744805 104.710887) + (xy 113.778884 104.773168) + (xy 113.773882 104.843988) + (xy 113.74496 104.889078) + (xy 113.719136 104.914947) + (xy 113.630151 105.059308) + (xy 113.627846 105.066256) + (xy 113.627846 105.066257) + (xy 113.594005 105.168285) + (xy 113.576762 105.220269) + (xy 113.5665 105.320428) + (xy 113.5665 105.854572) + (xy 113.566837 105.857818) + (xy 113.566837 105.857822) + (xy 113.569403 105.882555) + (xy 113.577022 105.955982) + (xy 113.630692 106.116849) + (xy 113.719929 106.261055) + (xy 113.839947 106.380864) + (xy 113.984308 106.469849) + (xy 113.991256 106.472154) + (xy 113.991257 106.472154) + (xy 114.138738 106.521072) + (xy 114.13874 106.521072) + (xy 114.145269 106.523238) + (xy 114.245428 106.5335) + (xy 114.44184 106.5335) + (xy 114.509961 106.553502) + (xy 114.530935 106.570405) + (xy 115.319739 107.359209) + (xy 115.353765 107.421521) + (xy 115.355363 107.43146) + (xy 115.355768 107.433365) + (xy 115.356458 107.439928) + (xy 115.415473 107.621556) + (xy 115.51096 107.786944) + (xy 115.515378 107.791851) + (xy 115.515379 107.791852) + (xy 115.632921 107.922396) + (xy 115.638747 107.928866) + (xy 115.793248 108.041118) + (xy 115.799276 108.043802) + (xy 115.799278 108.043803) + (xy 115.961681 108.116109) + (xy 115.967712 108.118794) + (xy 116.061112 108.138647) + (xy 116.148056 108.157128) + (xy 116.148061 108.157128) + (xy 116.154513 108.1585) + (xy 116.345487 108.1585) + (xy 116.351939 108.157128) + (xy 116.351944 108.157128) + (xy 116.438888 108.138647) + (xy 116.532288 108.118794) + (xy 116.538319 108.116109) + (xy 116.700722 108.043803) + (xy 116.700724 108.043802) + (xy 116.706752 108.041118) + (xy 116.861253 107.928866) + (xy 116.867079 107.922396) + (xy 116.984621 107.791852) + (xy 116.984622 107.791851) + (xy 116.98904 107.786944) + (xy 117.084527 107.621556) + (xy 117.143542 107.439928) + (xy 117.144778 107.428173) + (xy 117.162814 107.256565) + (xy 117.163504 107.25) + (xy 117.155512 107.173963) + (xy 117.144232 107.066635) + (xy 117.144232 107.066633) + (xy 117.143542 107.060072) + (xy 117.084527 106.878444) + (xy 116.98904 106.713056) + (xy 116.982965 106.706309) + (xy 116.982343 106.705013) + (xy 116.980741 106.702808) + (xy 116.981144 106.702515) + (xy 116.952248 106.642302) + (xy 116.961013 106.571848) + (xy 117.006477 106.517317) + (xy 117.076602 106.496) + (xy 119.683588 106.496) + (xy 119.692158 106.496292) + (xy 119.742276 106.499709) + (xy 119.74228 106.499709) + (xy 119.749852 106.500225) + (xy 119.757329 106.49892) + (xy 119.75733 106.49892) + (xy 119.788757 106.493435) + (xy 119.812803 106.489238) + (xy 119.819321 106.488277) + (xy 119.882742 106.480602) + (xy 119.889843 106.477919) + (xy 119.892452 106.477278) + (xy 119.908762 106.472815) + (xy 119.911298 106.47205) + (xy 119.918784 106.470743) + (xy 119.9773 106.445056) + (xy 119.983404 106.442565) + (xy 120.036048 106.422673) + (xy 120.036049 106.422672) + (xy 120.043156 106.419987) + (xy 120.049419 106.415683) + (xy 120.051785 106.414446) + (xy 120.066597 106.406201) + (xy 120.068851 106.404868) + (xy 120.075805 106.401815) + (xy 120.126502 106.362913) + (xy 120.131832 106.359041) + (xy 120.17822 106.327161) + (xy 120.178225 106.327156) + (xy 120.184481 106.322857) + (xy 120.225936 106.276329) + (xy 120.230916 106.271054) + (xy 120.58052 105.92145) + (xy 120.586785 105.915596) + (xy 120.587651 105.91484) + (xy 120.630385 105.877561) + (xy 120.667114 105.8253) + (xy 120.671046 105.820005) + (xy 120.692945 105.792077) + (xy 120.710477 105.769718) + (xy 120.713602 105.762796) + (xy 120.714964 105.760548) + (xy 120.723368 105.745815) + (xy 120.724622 105.743476) + (xy 120.72899 105.737261) + (xy 120.731749 105.730185) + (xy 120.731751 105.730181) + (xy 120.7522 105.677731) + (xy 120.754749 105.671666) + (xy 120.766939 105.644669) + (xy 130.692001 105.644669) + (xy 130.692371 105.65149) + (xy 130.697895 105.702352) + (xy 130.701521 105.717604) + (xy 130.746676 105.838054) + (xy 130.755214 105.853649) + (xy 130.831715 105.955724) + (xy 130.844276 105.968285) + (xy 130.946351 106.044786) + (xy 130.961946 106.053324) + (xy 131.082394 106.098478) + (xy 131.097649 106.102105) + (xy 131.148514 106.107631) + (xy 131.155328 106.108) + (xy 131.802885 106.108) + (xy 131.818124 106.103525) + (xy 131.819329 106.102135) + (xy 131.821 106.094452) + (xy 131.821 106.089884) + (xy 132.329 106.089884) + (xy 132.333475 106.105123) + (xy 132.334865 106.106328) + (xy 132.342548 106.107999) + (xy 132.994669 106.107999) + (xy 133.00149 106.107629) + (xy 133.052352 106.102105) + (xy 133.067604 106.098479) + (xy 133.188054 106.053324) + (xy 133.203649 106.044786) + (xy 133.305724 105.968285) + (xy 133.318285 105.955724) + (xy 133.394786 105.853649) + (xy 133.403324 105.838054) + (xy 133.448478 105.717606) + (xy 133.452105 105.702351) + (xy 133.457631 105.651486) + (xy 133.458 105.644672) + (xy 133.458 105.644669) + (xy 135.542001 105.644669) + (xy 135.542371 105.65149) + (xy 135.547895 105.702352) + (xy 135.551521 105.717604) + (xy 135.596676 105.838054) + (xy 135.605214 105.853649) + (xy 135.681715 105.955724) + (xy 135.694276 105.968285) + (xy 135.796351 106.044786) + (xy 135.811946 106.053324) + (xy 135.932394 106.098478) + (xy 135.947649 106.102105) + (xy 135.998514 106.107631) + (xy 136.005328 106.108) + (xy 136.652885 106.108) + (xy 136.668124 106.103525) + (xy 136.669329 106.102135) + (xy 136.671 106.094452) + (xy 136.671 105.372115) + (xy 136.666525 105.356876) + (xy 136.665135 105.355671) + (xy 136.657452 105.354) + (xy 135.560116 105.354) + (xy 135.544877 105.358475) + (xy 135.543672 105.359865) + (xy 135.542001 105.367548) + (xy 135.542001 105.644669) + (xy 133.458 105.644669) + (xy 133.458 105.372115) + (xy 133.453525 105.356876) + (xy 133.452135 105.355671) + (xy 133.444452 105.354) + (xy 132.347115 105.354) + (xy 132.331876 105.358475) + (xy 132.330671 105.359865) + (xy 132.329 105.367548) + (xy 132.329 106.089884) + (xy 131.821 106.089884) + (xy 131.821 105.372115) + (xy 131.816525 105.356876) + (xy 131.815135 105.355671) + (xy 131.807452 105.354) + (xy 130.710116 105.354) + (xy 130.694877 105.358475) + (xy 130.693672 105.359865) + (xy 130.692001 105.367548) + (xy 130.692001 105.644669) + (xy 120.766939 105.644669) + (xy 120.781045 105.613427) + (xy 120.782429 105.605962) + (xy 120.783226 105.603418) + (xy 120.787859 105.587152) + (xy 120.788521 105.584572) + (xy 120.791282 105.577491) + (xy 120.799622 105.514143) + (xy 120.800653 105.507629) + (xy 120.812296 105.444813) + (xy 120.808709 105.382607) + (xy 120.8085 105.375353) + (xy 120.8085 104.844669) + (xy 126.192001 104.844669) + (xy 126.192371 104.85149) + (xy 126.197895 104.902352) + (xy 126.201521 104.917604) + (xy 126.246676 105.038054) + (xy 126.255214 105.053649) + (xy 126.331715 105.155724) + (xy 126.344276 105.168285) + (xy 126.446351 105.244786) + (xy 126.461946 105.253324) + (xy 126.582394 105.298478) + (xy 126.597649 105.302105) + (xy 126.648514 105.307631) + (xy 126.655328 105.308) + (xy 127.177885 105.308) + (xy 127.193124 105.303525) + (xy 127.194329 105.302135) + (xy 127.196 105.294452) + (xy 127.196 105.289884) + (xy 127.704 105.289884) + (xy 127.708475 105.305123) + (xy 127.709865 105.306328) + (xy 127.717548 105.307999) + (xy 128.244669 105.307999) + (xy 128.25149 105.307629) + (xy 128.302352 105.302105) + (xy 128.317604 105.298479) + (xy 128.438054 105.253324) + (xy 128.453649 105.244786) + (xy 128.555724 105.168285) + (xy 128.568285 105.155724) + (xy 128.644786 105.053649) + (xy 128.653324 105.038054) + (xy 128.698478 104.917606) + (xy 128.702105 104.902351) + (xy 128.707631 104.851486) + (xy 128.708 104.844672) + (xy 128.708 104.522115) + (xy 128.703525 104.506876) + (xy 128.702135 104.505671) + (xy 128.694452 104.504) + (xy 127.722115 104.504) + (xy 127.706876 104.508475) + (xy 127.705671 104.509865) + (xy 127.704 104.517548) + (xy 127.704 105.289884) + (xy 127.196 105.289884) + (xy 127.196 104.522115) + (xy 127.191525 104.506876) + (xy 127.190135 104.505671) + (xy 127.182452 104.504) + (xy 126.210116 104.504) + (xy 126.194877 104.508475) + (xy 126.193672 104.509865) + (xy 126.192001 104.517548) + (xy 126.192001 104.844669) + (xy 120.8085 104.844669) + (xy 120.8085 103.977885) + (xy 126.192 103.977885) + (xy 126.196475 103.993124) + (xy 126.197865 103.994329) + (xy 126.205548 103.996) + (xy 127.177885 103.996) + (xy 127.193124 103.991525) + (xy 127.194329 103.990135) + (xy 127.196 103.982452) + (xy 127.196 103.977885) + (xy 127.704 103.977885) + (xy 127.708475 103.993124) + (xy 127.709865 103.994329) + (xy 127.717548 103.996) + (xy 128.689884 103.996) + (xy 128.705123 103.991525) + (xy 128.706328 103.990135) + (xy 128.707999 103.982452) + (xy 128.707999 103.655331) + (xy 128.707629 103.64851) + (xy 128.702105 103.597648) + (xy 128.698479 103.582396) + (xy 128.653324 103.461946) + (xy 128.644786 103.446351) + (xy 128.568285 103.344276) + (xy 128.555724 103.331715) + (xy 128.453649 103.255214) + (xy 128.438054 103.246676) + (xy 128.317606 103.201522) + (xy 128.302351 103.197895) + (xy 128.251486 103.192369) + (xy 128.244672 103.192) + (xy 127.722115 103.192) + (xy 127.706876 103.196475) + (xy 127.705671 103.197865) + (xy 127.704 103.205548) + (xy 127.704 103.977885) + (xy 127.196 103.977885) + (xy 127.196 103.210116) + (xy 127.191525 103.194877) + (xy 127.190135 103.193672) + (xy 127.182452 103.192001) + (xy 126.655331 103.192001) + (xy 126.64851 103.192371) + (xy 126.597648 103.197895) + (xy 126.582396 103.201521) + (xy 126.461946 103.246676) + (xy 126.446351 103.255214) + (xy 126.344276 103.331715) + (xy 126.331715 103.344276) + (xy 126.255214 103.446351) + (xy 126.246676 103.461946) + (xy 126.201522 103.582394) + (xy 126.197895 103.597649) + (xy 126.192369 103.648514) + (xy 126.192 103.655328) + (xy 126.192 103.977885) + (xy 120.8085 103.977885) + (xy 120.8085 100.334839) + (xy 120.828502 100.266718) + (xy 120.882158 100.220225) + (xy 120.952432 100.210121) + (xy 120.99597 100.224851) + (xy 121.068911 100.265616) + (xy 121.068915 100.265618) + (xy 121.074294 100.268624) + (xy 121.262392 100.32974) + (xy 121.458777 100.353158) + (xy 121.464912 100.352686) + (xy 121.464914 100.352686) + (xy 121.64983 100.338457) + (xy 121.649834 100.338456) + (xy 121.655972 100.337984) + (xy 121.846463 100.284798) + (xy 121.851967 100.282018) + (xy 121.851969 100.282017) + (xy 122.017495 100.198404) + (xy 122.017497 100.198403) + (xy 122.022996 100.195625) + (xy 122.178847 100.073861) + (xy 122.308078 99.924145) + (xy 122.405769 99.752179) + (xy 122.468197 99.564513) + (xy 122.492985 99.368295) + (xy 122.49338 99.34) + (xy 122.485545 99.26009) + (xy 122.498805 99.190343) + (xy 122.521849 99.1587) + (xy 123.656711 98.023838) + (xy 123.719023 97.989812) + (xy 123.789838 97.994877) + (xy 123.846674 98.037424) + (xy 123.870736 98.096551) + (xy 123.870795 98.096998) + (xy 123.874659 98.126348) + (xy 123.935973 98.274373) + (xy 123.941 98.280924) + (xy 123.941001 98.280926) + (xy 124.009017 98.369566) + (xy 124.009023 98.369572) + (xy 124.03351 98.401484) + (xy 124.040065 98.406514) + (xy 124.058876 98.420949) + (xy 124.071267 98.431816) + (xy 129.435685 103.796234) + (xy 129.446552 103.808625) + (xy 129.466013 103.833987) + (xy 129.472563 103.839013) + (xy 129.497921 103.858471) + (xy 129.497928 103.858477) + (xy 129.541928 103.892239) + (xy 129.593125 103.931524) + (xy 129.74115 103.992838) + (xy 129.860115 104.0085) + (xy 129.86012 104.0085) + (xy 129.860129 104.008501) + (xy 129.891812 104.012672) + (xy 129.9 104.01375) + (xy 129.931693 104.009578) + (xy 129.948136 104.0085) + (xy 130.610246 104.0085) + (xy 130.678367 104.028502) + (xy 130.72486 104.082158) + (xy 130.728228 104.09027) + (xy 130.741364 104.125309) + (xy 130.749385 104.146705) + (xy 130.754771 104.153891) + (xy 130.77048 104.174852) + (xy 130.795328 104.241358) + (xy 130.780275 104.310741) + (xy 130.77048 104.325982) + (xy 130.755214 104.346351) + (xy 130.746676 104.361946) + (xy 130.701522 104.482394) + (xy 130.697895 104.497649) + (xy 130.692369 104.548514) + (xy 130.692 104.555328) + (xy 130.692 104.827885) + (xy 130.696475 104.843124) + (xy 130.697865 104.844329) + (xy 130.705548 104.846) + (xy 133.439884 104.846) + (xy 133.455123 104.841525) + (xy 133.456328 104.840135) + (xy 133.457999 104.832452) + (xy 133.457999 104.555331) + (xy 133.457629 104.54851) + (xy 133.452105 104.497648) + (xy 133.448479 104.482396) + (xy 133.403324 104.361946) + (xy 133.394786 104.346351) + (xy 133.37952 104.325982) + (xy 133.354672 104.259475) + (xy 133.369725 104.190093) + (xy 133.37952 104.174852) + (xy 133.395229 104.153891) + (xy 133.400615 104.146705) + (xy 133.408636 104.125309) + (xy 133.421772 104.09027) + (xy 133.464414 104.033505) + (xy 133.530976 104.008806) + (xy 133.539754 104.0085) + (xy 135.460246 104.0085) + (xy 135.528367 104.028502) + (xy 135.57486 104.082158) + (xy 135.578228 104.09027) + (xy 135.591364 104.125309) + (xy 135.599385 104.146705) + (xy 135.604771 104.153891) + (xy 135.62048 104.174852) + (xy 135.645328 104.241358) + (xy 135.630275 104.310741) + (xy 135.62048 104.325982) + (xy 135.605214 104.346351) + (xy 135.596676 104.361946) + (xy 135.551522 104.482394) + (xy 135.547895 104.497649) + (xy 135.542369 104.548514) + (xy 135.542 104.555328) + (xy 135.542 104.827885) + (xy 135.546475 104.843124) + (xy 135.547865 104.844329) + (xy 135.555548 104.846) + (xy 137.053 104.846) + (xy 137.121121 104.866002) + (xy 137.167614 104.919658) + (xy 137.179 104.972) + (xy 137.179 106.089884) + (xy 137.183475 106.105123) + (xy 137.184865 106.106328) + (xy 137.192548 106.107999) + (xy 137.844669 106.107999) + (xy 137.85149 106.107629) + (xy 137.902352 106.102105) + (xy 137.917603 106.098479) + (xy 137.966063 106.080312) + (xy 138.03687 106.075129) + (xy 138.099239 106.109049) + (xy 138.133368 106.171304) + (xy 138.130126 106.23723) + (xy 138.106458 106.310072) + (xy 138.105768 106.316633) + (xy 138.105768 106.316635) + (xy 138.096023 106.409359) + (xy 138.086496 106.5) + (xy 138.087186 106.506565) + (xy 138.094066 106.57202) + (xy 138.106458 106.689928) + (xy 138.165473 106.871556) + (xy 138.168776 106.877278) + (xy 138.168777 106.877279) + (xy 138.197733 106.927432) + (xy 138.26096 107.036944) + (xy 138.265378 107.041851) + (xy 138.265379 107.041852) + (xy 138.287694 107.066635) + (xy 138.388747 107.178866) + (xy 138.543248 107.291118) + (xy 138.549276 107.293802) + (xy 138.549278 107.293803) + (xy 138.711681 107.366109) + (xy 138.717712 107.368794) + (xy 138.811113 107.388647) + (xy 138.898056 107.407128) + (xy 138.898061 107.407128) + (xy 138.904513 107.4085) + (xy 139.095487 107.4085) + (xy 139.101939 107.407128) + (xy 139.101944 107.407128) + (xy 139.188887 107.388647) + (xy 139.282288 107.368794) + (xy 139.288319 107.366109) + (xy 139.450722 107.293803) + (xy 139.450724 107.293802) + (xy 139.456752 107.291118) + (xy 139.611253 107.178866) + (xy 139.637074 107.150189) + (xy 139.69752 107.11295) + (xy 139.73071 107.1085) + (xy 149.201864 107.1085) + (xy 149.218307 107.109578) + (xy 149.25 107.11375) + (xy 149.258189 107.112672) + (xy 149.289874 107.108501) + (xy 149.289884 107.1085) + (xy 149.289885 107.1085) + (xy 149.389457 107.095391) + (xy 149.400664 107.093916) + (xy 149.400666 107.093915) + (xy 149.408851 107.092838) + (xy 149.556876 107.031524) + (xy 149.652072 106.958477) + (xy 149.652075 106.958474) + (xy 149.683987 106.933987) + (xy 149.689017 106.927432) + (xy 149.703452 106.908621) + (xy 149.714319 106.89623) + (xy 150.435166 106.175383) + (xy 188.587388 106.175383) + (xy 188.603245 106.493914) + (xy 188.604076 106.501443) + (xy 188.658085 106.815759) + (xy 188.659818 106.823146) + (xy 188.751196 107.128695) + (xy 188.753799 107.135808) + (xy 188.881227 107.428173) + (xy 188.884669 107.434929) + (xy 189.046296 107.709865) + (xy 189.050519 107.71615) + (xy 189.201463 107.913934) + (xy 189.212989 107.922396) + (xy 189.225054 107.915735) + (xy 190.92798 106.21281) + (xy 190.935592 106.198869) + (xy 190.935461 106.197034) + (xy 190.93121 106.19042) + (xy 189.226445 104.485656) + (xy 189.21351 104.478592) + (xy 189.202949 104.486252) + (xy 189.082766 104.637072) + (xy 189.07841 104.64327) + (xy 188.911059 104.914764) + (xy 188.907479 104.92144) + (xy 188.773956 105.211074) + (xy 188.771206 105.218125) + (xy 188.673444 105.521708) + (xy 188.671561 105.529041) + (xy 188.610979 105.84217) + (xy 188.609992 105.84967) + (xy 188.587467 106.167802) + (xy 188.587388 106.175383) + (xy 150.435166 106.175383) + (xy 152.276405 104.334144) + (xy 152.338717 104.300118) + (xy 152.409532 104.305183) + (xy 152.466368 104.34773) + (xy 152.491179 104.41425) + (xy 152.4915 104.423239) + (xy 152.4915 105.548134) + (xy 152.498255 105.610316) + (xy 152.549385 105.746705) + (xy 152.636739 105.863261) + (xy 152.753295 105.950615) + (xy 152.889684 106.001745) + (xy 152.951866 106.0085) + (xy 154.848134 106.0085) + (xy 154.910316 106.001745) + (xy 155.046705 105.950615) + (xy 155.163261 105.863261) + (xy 155.250615 105.746705) + (xy 155.301745 105.610316) + (xy 155.3085 105.548134) + (xy 155.3085 104.113423) + (xy 189.577917 104.113423) + (xy 189.58452 104.125309) + (xy 191.28719 105.82798) + (xy 191.301131 105.835592) + (xy 191.302966 105.835461) + (xy 191.30958 105.83121) + (xy 193.014559 104.12623) + (xy 193.021571 104.113389) + (xy 193.013777 104.102701) + (xy 192.851298 103.974613) + (xy 192.845075 103.970288) + (xy 192.572702 103.804357) + (xy 192.566025 103.800822) + (xy 192.275686 103.668813) + (xy 192.268616 103.666099) + (xy 191.964537 103.569932) + (xy 191.957186 103.568085) + (xy 191.643746 103.509142) + (xy 191.636237 103.508194) + (xy 191.317989 103.487335) + (xy 191.310424 103.487295) + (xy 190.991964 103.504821) + (xy 190.98445 103.50569) + (xy 190.670405 103.561348) + (xy 190.663044 103.563115) + (xy 190.35798 103.656092) + (xy 190.35086 103.65874) + (xy 190.059182 103.78769) + (xy 190.052445 103.791167) + (xy 189.778355 103.954233) + (xy 189.772091 103.95849) + (xy 189.586385 104.101762) + (xy 189.577917 104.113423) + (xy 155.3085 104.113423) + (xy 155.3085 103.251866) + (xy 155.301745 103.189684) + (xy 155.250615 103.053295) + (xy 155.163261 102.936739) + (xy 155.046705 102.849385) + (xy 154.910316 102.798255) + (xy 154.848134 102.7915) + (xy 152.951866 102.7915) + (xy 152.889684 102.798255) + (xy 152.781696 102.838738) + (xy 152.77873 102.83985) + (xy 152.707923 102.845033) + (xy 152.645554 102.811112) + (xy 152.611424 102.748857) + (xy 152.6085 102.721868) + (xy 152.6085 102.048513) + (xy 152.616518 102.004284) + (xy 152.648973 101.917711) + (xy 152.648973 101.917709) + (xy 152.651745 101.910316) + (xy 152.653735 101.892003) + (xy 152.658131 101.851531) + (xy 152.6585 101.848134) + (xy 152.6585 100.451866) + (xy 152.651745 100.389684) + (xy 152.600615 100.253295) + (xy 152.513261 100.136739) + (xy 152.396705 100.049385) + (xy 152.260316 99.998255) + (xy 152.198134 99.9915) + (xy 151.801866 99.9915) + (xy 151.798471 99.991869) + (xy 151.798467 99.991869) + (xy 151.779109 99.993972) + (xy 151.763606 99.995656) + (xy 151.736394 99.995656) + (xy 151.720891 99.993972) + (xy 151.701533 99.991869) + (xy 151.701529 99.991869) + (xy 151.698134 99.9915) + (xy 151.301866 99.9915) + (xy 151.298471 99.991869) + (xy 151.298467 99.991869) + (xy 151.279109 99.993972) + (xy 151.263606 99.995656) + (xy 151.236394 99.995656) + (xy 151.220891 99.993972) + (xy 151.201533 99.991869) + (xy 151.201529 99.991869) + (xy 151.198134 99.9915) + (xy 150.801866 99.9915) + (xy 150.798471 99.991869) + (xy 150.798467 99.991869) + (xy 150.779109 99.993972) + (xy 150.763606 99.995656) + (xy 150.736394 99.995656) + (xy 150.720891 99.993972) + (xy 150.655329 99.966729) + (xy 150.614903 99.908366) + (xy 150.6085 99.868709) + (xy 150.6085 99.748136) + (xy 150.609578 99.73169) + (xy 150.612672 99.708188) + (xy 150.61375 99.7) + (xy 150.6085 99.66012) + (xy 150.6085 99.660115) + (xy 150.599132 99.588958) + (xy 150.593916 99.549336) + (xy 150.593915 99.549334) + (xy 150.592838 99.54115) + (xy 150.589678 99.533521) + (xy 150.588048 99.527438) + (xy 150.589737 99.456462) + (xy 150.62953 99.397665) + (xy 150.694795 99.369717) + (xy 150.707088 99.368985) + (xy 150.71 99.369214) + (xy 150.949222 99.350387) + (xy 150.954029 99.349233) + (xy 150.954035 99.349232) + (xy 151.100963 99.313957) + (xy 151.182553 99.294369) + (xy 151.187126 99.292475) + (xy 151.399677 99.204434) + (xy 151.399679 99.204433) + (xy 151.404249 99.20254) + (xy 151.608849 99.07716) + (xy 151.791318 98.921318) + (xy 151.94716 98.738849) + (xy 152.07254 98.534249) + (xy 152.076252 98.525289) + (xy 152.162475 98.317126) + (xy 152.162476 98.317124) + (xy 152.164369 98.312553) + (xy 152.202555 98.153498) + (xy 152.219232 98.084035) + (xy 152.219233 98.084029) + (xy 152.220387 98.079222) + (xy 152.239214 97.84) + (xy 152.220387 97.600778) + (xy 152.219233 97.595971) + (xy 152.219232 97.595965) + (xy 152.173546 97.405671) + (xy 152.164369 97.367447) + (xy 152.161066 97.359473) + (xy 152.074434 97.150323) + (xy 152.074433 97.150321) + (xy 152.07254 97.145751) + (xy 151.975672 96.987678) + (xy 151.957133 96.919145) + (xy 151.978589 96.851468) + (xy 152.033228 96.806135) + (xy 152.103702 96.797538) + (xy 152.172199 96.832748) + (xy 153.575685 98.236234) + (xy 153.586552 98.248625) + (xy 153.606013 98.273987) + (xy 153.612563 98.279013) + (xy 153.637921 98.298471) + (xy 153.637937 98.298485) + (xy 153.662231 98.317126) + (xy 153.733124 98.371524) + (xy 153.881149 98.432838) + (xy 153.889336 98.433916) + (xy 153.889337 98.433916) + (xy 153.900542 98.435391) + (xy 153.931738 98.439498) + (xy 154.000115 98.4485) + (xy 154.000118 98.4485) + (xy 154.000126 98.448501) + (xy 154.031811 98.452672) + (xy 154.04 98.45375) + (xy 154.048189 98.452672) + (xy 154.071693 98.449578) + (xy 154.088138 98.4485) + (xy 172.227751 98.4485) + (xy 172.295872 98.468502) + (xy 172.343309 98.525264) + (xy 172.343316 98.525261) + (xy 172.34333 98.525289) + (xy 172.34416 98.526282) + (xy 172.34746 98.534249) + (xy 172.47284 98.738849) + (xy 172.628682 98.921318) + (xy 172.811151 99.07716) + (xy 173.015751 99.20254) + (xy 173.020321 99.204433) + (xy 173.020323 99.204434) + (xy 173.232874 99.292475) + (xy 173.237447 99.294369) + (xy 173.319037 99.313957) + (xy 173.465965 99.349232) + (xy 173.465971 99.349233) + (xy 173.470778 99.350387) + (xy 173.71 99.369214) + (xy 173.949222 99.350387) + (xy 173.954029 99.349233) + (xy 173.954035 99.349232) + (xy 174.100963 99.313957) + (xy 174.182553 99.294369) + (xy 174.187126 99.292475) + (xy 174.399677 99.204434) + (xy 174.399679 99.204433) + (xy 174.404249 99.20254) + (xy 174.608849 99.07716) + (xy 174.791318 98.921318) + (xy 174.94716 98.738849) + (xy 175.07254 98.534249) + (xy 175.076252 98.525289) + (xy 175.162475 98.317126) + (xy 175.162476 98.317124) + (xy 175.164369 98.312553) + (xy 175.202555 98.153498) + (xy 175.219232 98.084035) + (xy 175.219233 98.084029) + (xy 175.220387 98.079222) + (xy 175.239214 97.84) + (xy 175.220387 97.600778) + (xy 175.219233 97.595971) + (xy 175.219232 97.595965) + (xy 175.173546 97.405671) + (xy 175.164369 97.367447) + (xy 175.161066 97.359473) + (xy 175.074434 97.150323) + (xy 175.074433 97.150321) + (xy 175.07254 97.145751) + (xy 174.973606 96.984306) + (xy 177.830524 96.984306) + (xy 177.836251 96.991956) + (xy 178.011759 97.099507) + (xy 178.020553 97.103988) + (xy 178.233029 97.191998) + (xy 178.242414 97.195047) + (xy 178.466044 97.248737) + (xy 178.475791 97.25028) + (xy 178.70507 97.268325) + (xy 178.71493 97.268325) + (xy 178.944209 97.25028) + (xy 178.953956 97.248737) + (xy 179.177586 97.195047) + (xy 179.186971 97.191998) + (xy 179.399447 97.103988) + (xy 179.408241 97.099507) + (xy 179.580083 96.994203) + (xy 179.589543 96.983747) + (xy 179.585759 96.974969) + (xy 178.722812 96.112022) + (xy 178.708868 96.104408) + (xy 178.707035 96.104539) + (xy 178.70042 96.10879) + (xy 177.837284 96.971926) + (xy 177.830524 96.984306) + (xy 174.973606 96.984306) + (xy 174.94716 96.941151) + (xy 174.906142 96.893124) + (xy 174.794531 96.762444) + (xy 174.791318 96.758682) + (xy 174.685085 96.667951) + (xy 174.612617 96.606058) + (xy 174.612616 96.606057) + (xy 174.608849 96.60284) + (xy 174.404249 96.47746) + (xy 174.399679 96.475567) + (xy 174.399677 96.475566) + (xy 174.187126 96.387525) + (xy 174.187124 96.387524) + (xy 174.182553 96.385631) + (xy 174.063376 96.357019) + (xy 173.954035 96.330768) + (xy 173.954029 96.330767) + (xy 173.949222 96.329613) + (xy 173.71 96.310786) + (xy 173.470778 96.329613) + (xy 173.465971 96.330767) + (xy 173.465965 96.330768) + (xy 173.356624 96.357019) + (xy 173.237447 96.385631) + (xy 173.232876 96.387524) + (xy 173.232874 96.387525) + (xy 173.020323 96.475566) + (xy 173.020321 96.475567) + (xy 173.015751 96.47746) + (xy 172.811151 96.60284) + (xy 172.807384 96.606057) + (xy 172.807383 96.606058) + (xy 172.734915 96.667951) + (xy 172.628682 96.758682) + (xy 172.625469 96.762444) + (xy 172.513859 96.893124) + (xy 172.47284 96.941151) + (xy 172.34746 97.145751) + (xy 172.345567 97.150321) + (xy 172.345566 97.150323) + (xy 172.34416 97.153718) + (xy 172.299612 97.208999) + (xy 172.227751 97.2315) + (xy 156.639612 97.2315) + (xy 156.571491 97.211498) + (xy 156.524998 97.157842) + (xy 156.514894 97.087568) + (xy 156.544388 97.022988) + (xy 156.573777 96.998067) + (xy 156.580083 96.994203) + (xy 156.589543 96.983747) + (xy 156.585759 96.974969) + (xy 155.722812 96.112022) + (xy 155.708868 96.104408) + (xy 155.707035 96.104539) + (xy 155.70042 96.10879) + (xy 154.837284 96.971926) + (xy 154.830524 96.984306) + (xy 154.836251 96.991956) + (xy 154.846223 96.998067) + (xy 154.893854 97.050715) + (xy 154.905461 97.120756) + (xy 154.877358 97.185954) + (xy 154.818468 97.225608) + (xy 154.780388 97.2315) + (xy 154.344239 97.2315) + (xy 154.276118 97.211498) + (xy 154.255144 97.194595) + (xy 152.805479 95.74493) + (xy 154.181675 95.74493) + (xy 154.19972 95.974209) + (xy 154.201263 95.983956) + (xy 154.254953 96.207586) + (xy 154.258002 96.216971) + (xy 154.346012 96.429447) + (xy 154.350493 96.438241) + (xy 154.455797 96.610083) + (xy 154.466253 96.619543) + (xy 154.475031 96.615759) + (xy 155.337978 95.752812) + (xy 155.344356 95.741132) + (xy 156.074408 95.741132) + (xy 156.074539 95.742965) + (xy 156.07879 95.74958) + (xy 156.941926 96.612716) + (xy 156.954306 96.619476) + (xy 156.961956 96.613749) + (xy 157.069507 96.438241) + (xy 157.073988 96.429447) + (xy 157.161998 96.216971) + (xy 157.165047 96.207586) + (xy 157.218737 95.983956) + (xy 157.22028 95.974209) + (xy 157.238325 95.74493) + (xy 177.181675 95.74493) + (xy 177.19972 95.974209) + (xy 177.201263 95.983956) + (xy 177.254953 96.207586) + (xy 177.258002 96.216971) + (xy 177.346012 96.429447) + (xy 177.350493 96.438241) + (xy 177.455797 96.610083) + (xy 177.466253 96.619543) + (xy 177.475031 96.615759) + (xy 178.337978 95.752812) + (xy 178.344356 95.741132) + (xy 179.074408 95.741132) + (xy 179.074539 95.742965) + (xy 179.07879 95.74958) + (xy 179.941926 96.612716) + (xy 179.954306 96.619476) + (xy 179.961956 96.613749) + (xy 180.069507 96.438241) + (xy 180.073988 96.429447) + (xy 180.161998 96.216971) + (xy 180.165047 96.207586) + (xy 180.218737 95.983956) + (xy 180.22028 95.974209) + (xy 180.238325 95.74493) + (xy 180.238325 95.73507) + (xy 180.22028 95.505791) + (xy 180.218737 95.496044) + (xy 180.165047 95.272414) + (xy 180.161998 95.263029) + (xy 180.073988 95.050553) + (xy 180.069507 95.041759) + (xy 179.964203 94.869917) + (xy 179.953747 94.860457) + (xy 179.944969 94.864241) + (xy 179.082022 95.727188) + (xy 179.074408 95.741132) + (xy 178.344356 95.741132) + (xy 178.345592 95.738868) + (xy 178.345461 95.737035) + (xy 178.34121 95.73042) + (xy 177.478074 94.867284) + (xy 177.465694 94.860524) + (xy 177.458044 94.866251) + (xy 177.350493 95.041759) + (xy 177.346012 95.050553) + (xy 177.258002 95.263029) + (xy 177.254953 95.272414) + (xy 177.201263 95.496044) + (xy 177.19972 95.505791) + (xy 177.181675 95.73507) + (xy 177.181675 95.74493) + (xy 157.238325 95.74493) + (xy 157.238325 95.73507) + (xy 157.22028 95.505791) + (xy 157.218737 95.496044) + (xy 157.165047 95.272414) + (xy 157.161998 95.263029) + (xy 157.073988 95.050553) + (xy 157.069507 95.041759) + (xy 156.964203 94.869917) + (xy 156.953747 94.860457) + (xy 156.944969 94.864241) + (xy 156.082022 95.727188) + (xy 156.074408 95.741132) + (xy 155.344356 95.741132) + (xy 155.345592 95.738868) + (xy 155.345461 95.737035) + (xy 155.34121 95.73042) + (xy 154.478074 94.867284) + (xy 154.465694 94.860524) + (xy 154.458044 94.866251) + (xy 154.350493 95.041759) + (xy 154.346012 95.050553) + (xy 154.258002 95.263029) + (xy 154.254953 95.272414) + (xy 154.201263 95.496044) + (xy 154.19972 95.505791) + (xy 154.181675 95.73507) + (xy 154.181675 95.74493) + (xy 152.805479 95.74493) + (xy 152.164315 95.103766) + (xy 152.153448 95.091375) + (xy 152.139013 95.072563) + (xy 152.133987 95.066013) + (xy 152.102075 95.041526) + (xy 152.102072 95.041523) + (xy 152.06881 95.016) + (xy 152.013429 94.973504) + (xy 152.013427 94.973503) + (xy 152.006876 94.968476) + (xy 151.858851 94.907162) + (xy 151.850664 94.906084) + (xy 151.850663 94.906084) + (xy 151.839458 94.904609) + (xy 151.808262 94.900502) + (xy 151.739885 94.8915) + (xy 151.739882 94.8915) + (xy 151.739874 94.891499) + (xy 151.708189 94.887328) + (xy 151.7 94.88625) + (xy 151.668307 94.890422) + (xy 151.651864 94.8915) + (xy 140.504239 94.8915) + (xy 140.436118 94.871498) + (xy 140.415144 94.854595) + (xy 140.056802 94.496253) + (xy 154.830457 94.496253) + (xy 154.834241 94.505031) + (xy 155.697188 95.367978) + (xy 155.711132 95.375592) + (xy 155.712965 95.375461) + (xy 155.71958 95.37121) + (xy 156.582716 94.508074) + (xy 156.589171 94.496253) + (xy 177.830457 94.496253) + (xy 177.834241 94.505031) + (xy 178.697188 95.367978) + (xy 178.711132 95.375592) + (xy 178.712965 95.375461) + (xy 178.71958 95.37121) + (xy 179.582716 94.508074) + (xy 179.589476 94.495694) + (xy 179.583749 94.488044) + (xy 179.408241 94.380493) + (xy 179.399447 94.376012) + (xy 179.186971 94.288002) + (xy 179.177586 94.284953) + (xy 178.953956 94.231263) + (xy 178.944209 94.22972) + (xy 178.71493 94.211675) + (xy 178.70507 94.211675) + (xy 178.475791 94.22972) + (xy 178.466044 94.231263) + (xy 178.242414 94.284953) + (xy 178.233029 94.288002) + (xy 178.020553 94.376012) + (xy 178.011759 94.380493) + (xy 177.839917 94.485797) + (xy 177.830457 94.496253) + (xy 156.589171 94.496253) + (xy 156.589476 94.495694) + (xy 156.583749 94.488044) + (xy 156.408241 94.380493) + (xy 156.399447 94.376012) + (xy 156.186971 94.288002) + (xy 156.177586 94.284953) + (xy 155.953956 94.231263) + (xy 155.944209 94.22972) + (xy 155.71493 94.211675) + (xy 155.70507 94.211675) + (xy 155.475791 94.22972) + (xy 155.466044 94.231263) + (xy 155.242414 94.284953) + (xy 155.233029 94.288002) + (xy 155.020553 94.376012) + (xy 155.011759 94.380493) + (xy 154.839917 94.485797) + (xy 154.830457 94.496253) + (xy 140.056802 94.496253) + (xy 137.436281 91.875732) + (xy 143.8472 91.875732) + (xy 143.8474 91.881062) + (xy 143.8474 91.881063) + (xy 143.851364 91.986659) + (xy 143.855854 92.106268) + (xy 143.903228 92.33205) + (xy 143.987967 92.546622) + (xy 144.107647 92.743849) + (xy 144.111144 92.747879) + (xy 144.197768 92.847704) + (xy 144.258847 92.918092) + (xy 144.262978 92.921479) + (xy 144.433115 93.060984) + (xy 144.433121 93.060988) + (xy 144.437243 93.064368) + (xy 144.637735 93.178494) + (xy 144.642751 93.180315) + (xy 144.642756 93.180317) + (xy 144.849575 93.255389) + (xy 144.849579 93.25539) + (xy 144.85459 93.257209) + (xy 144.859839 93.258158) + (xy 144.859842 93.258159) + (xy 145.077523 93.297522) + (xy 145.07753 93.297523) + (xy 145.081607 93.29826) + (xy 145.099344 93.299096) + (xy 145.104292 93.29933) + (xy 145.104299 93.29933) + (xy 145.10578 93.2994) + (xy 145.267925 93.2994) + (xy 145.334881 93.293719) + (xy 145.434562 93.285261) + (xy 145.434566 93.28526) + (xy 145.439873 93.28481) + (xy 145.445028 93.283472) + (xy 145.445034 93.283471) + (xy 145.658003 93.228195) + (xy 145.658007 93.228194) + (xy 145.663172 93.226853) + (xy 145.668038 93.224661) + (xy 145.668041 93.22466) + (xy 145.868649 93.134293) + (xy 145.873515 93.132101) + (xy 145.877935 93.129125) + (xy 145.877939 93.129123) + (xy 146.024503 93.030449) + (xy 146.064885 93.003262) + (xy 146.231812 92.844022) + (xy 146.265541 92.798689) + (xy 146.366337 92.663214) + (xy 146.366339 92.663211) + (xy 146.369521 92.658934) + (xy 146.424305 92.551183) + (xy 146.471658 92.458046) + (xy 146.471658 92.458045) + (xy 146.474077 92.453288) + (xy 146.522606 92.297) + (xy 146.540905 92.23807) + (xy 146.540906 92.238064) + (xy 146.542489 92.232967) + (xy 146.559988 92.100937) + (xy 146.5721 92.009553) + (xy 146.5721 92.009548) + (xy 146.5728 92.004268) + (xy 146.571983 91.982489) + (xy 146.564346 91.779063) + (xy 146.564146 91.773732) + (xy 146.516772 91.54795) + (xy 146.511066 91.5335) + (xy 146.468893 91.426712) + (xy 146.432033 91.333378) + (xy 146.312353 91.136151) + (xy 146.294907 91.116046) + (xy 146.164653 90.965941) + (xy 146.164651 90.965939) + (xy 146.161153 90.961908) + (xy 146.113188 90.922579) + (xy 145.986885 90.819016) + (xy 145.986879 90.819012) + (xy 145.982757 90.815632) + (xy 145.782265 90.701506) + (xy 145.777249 90.699685) + (xy 145.777244 90.699683) + (xy 145.570425 90.624611) + (xy 145.570421 90.62461) + (xy 145.56541 90.622791) + (xy 145.560161 90.621842) + (xy 145.560158 90.621841) + (xy 145.342477 90.582478) + (xy 145.34247 90.582477) + (xy 145.338393 90.58174) + (xy 145.320656 90.580904) + (xy 145.315708 90.58067) + (xy 145.315701 90.58067) + (xy 145.31422 90.5806) + (xy 145.152075 90.5806) + (xy 145.085119 90.586281) + (xy 144.985438 90.594739) + (xy 144.985434 90.59474) + (xy 144.980127 90.59519) + (xy 144.974972 90.596528) + (xy 144.974966 90.596529) + (xy 144.761997 90.651805) + (xy 144.761993 90.651806) + (xy 144.756828 90.653147) + (xy 144.751962 90.655339) + (xy 144.751959 90.65534) + (xy 144.675012 90.690002) + (xy 144.546485 90.747899) + (xy 144.542065 90.750875) + (xy 144.542061 90.750877) + (xy 144.50435 90.776266) + (xy 144.355115 90.876738) + (xy 144.188188 91.035978) + (xy 144.050479 91.221066) + (xy 144.048064 91.225816) + (xy 143.993377 91.333378) + (xy 143.945923 91.426712) + (xy 143.91606 91.522887) + (xy 143.879095 91.64193) + (xy 143.879094 91.641936) + (xy 143.877511 91.647033) + (xy 143.863598 91.752008) + (xy 143.850324 91.852163) + (xy 143.8472 91.875732) + (xy 137.436281 91.875732) + (xy 135.984144 90.423595) + (xy 135.950118 90.361283) + (xy 135.955183 90.290468) + (xy 135.99773 90.233632) + (xy 136.06425 90.208821) + (xy 136.073239 90.2085) + (xy 148.992223 90.2085) + (xy 149.060344 90.228502) + (xy 149.106837 90.282158) + (xy 149.116941 90.352432) + (xy 149.087891 90.416498) + (xy 148.932488 90.59781) + (xy 148.925991 90.60539) + (xy 148.923717 90.608892) + (xy 148.923713 90.608897) + (xy 148.76743 90.849551) + (xy 148.765151 90.853061) + (xy 148.763357 90.856839) + (xy 148.763356 90.856841) + (xy 148.718626 90.951042) + (xy 148.638481 91.119828) + (xy 148.637202 91.123811) + (xy 148.637201 91.123814) + (xy 148.549485 91.397017) + (xy 148.548205 91.401004) + (xy 148.542661 91.431815) + (xy 148.498087 91.679552) + (xy 148.49591 91.69165) + (xy 148.495721 91.695817) + (xy 148.49572 91.695824) + (xy 148.484421 91.944658) + (xy 148.482514 91.986659) + (xy 148.482877 91.990807) + (xy 148.482877 91.990811) + (xy 148.499475 92.180524) + (xy 148.508252 92.280849) + (xy 148.509162 92.284921) + (xy 148.509163 92.284926) + (xy 148.567659 92.546622) + (xy 148.572672 92.56905) + (xy 148.674644 92.846199) + (xy 148.676591 92.849892) + (xy 148.676592 92.849894) + (xy 148.719543 92.931357) + (xy 148.812374 93.107427) + (xy 148.814794 93.110832) + (xy 148.981019 93.344735) + (xy 148.981024 93.344741) + (xy 148.983443 93.348145) + (xy 148.986287 93.351195) + (xy 148.986292 93.351201) + (xy 149.080483 93.452208) + (xy 149.184846 93.564124) + (xy 149.413045 93.751568) + (xy 149.664029 93.907185) + (xy 149.93339 94.028241) + (xy 150.00532 94.049684) + (xy 150.205161 94.109259) + (xy 150.216395 94.112608) + (xy 150.220515 94.113261) + (xy 150.220517 94.113261) + (xy 150.504592 94.158255) + (xy 150.504598 94.158256) + (xy 150.508073 94.158806) + (xy 150.532632 94.159921) + (xy 150.599017 94.162936) + (xy 150.599038 94.162936) + (xy 150.600437 94.163) + (xy 150.784901 94.163) + (xy 151.004664 94.148403) + (xy 151.008763 94.147577) + (xy 151.008767 94.147576) + (xy 151.18219 94.112608) + (xy 151.294151 94.090033) + (xy 151.573375 93.993888) + (xy 151.743089 93.908902) + (xy 151.833695 93.86353) + (xy 151.833697 93.863529) + (xy 151.837431 93.861659) + (xy 152.081678 93.695668) + (xy 152.301827 93.498832) + (xy 152.381968 93.40533) + (xy 152.491289 93.277784) + (xy 152.491292 93.27778) + (xy 152.494009 93.27461) + (xy 152.496283 93.271108) + (xy 152.496287 93.271103) + (xy 152.65257 93.030449) + (xy 152.652573 93.030444) + (xy 152.654849 93.026939) + (xy 152.66468 93.006236) + (xy 152.74067 92.846199) + (xy 152.781519 92.760172) + (xy 152.792232 92.726807) + (xy 152.870515 92.482983) + (xy 152.870515 92.482982) + (xy 152.871795 92.478996) + (xy 152.9056 92.291115) + (xy 152.923351 92.192459) + (xy 152.923352 92.192454) + (xy 152.92409 92.18835) + (xy 152.924446 92.180524) + (xy 152.937297 91.897511) + (xy 152.937297 91.897506) + (xy 152.937486 91.893341) + (xy 152.935946 91.875732) + (xy 154.8472 91.875732) + (xy 154.8474 91.881062) + (xy 154.8474 91.881063) + (xy 154.851364 91.986659) + (xy 154.855854 92.106268) + (xy 154.903228 92.33205) + (xy 154.987967 92.546622) + (xy 155.107647 92.743849) + (xy 155.111144 92.747879) + (xy 155.197768 92.847704) + (xy 155.258847 92.918092) + (xy 155.262978 92.921479) + (xy 155.433115 93.060984) + (xy 155.433121 93.060988) + (xy 155.437243 93.064368) + (xy 155.637735 93.178494) + (xy 155.642751 93.180315) + (xy 155.642756 93.180317) + (xy 155.849575 93.255389) + (xy 155.849579 93.25539) + (xy 155.85459 93.257209) + (xy 155.859839 93.258158) + (xy 155.859842 93.258159) + (xy 156.077523 93.297522) + (xy 156.07753 93.297523) + (xy 156.081607 93.29826) + (xy 156.099344 93.299096) + (xy 156.104292 93.29933) + (xy 156.104299 93.29933) + (xy 156.10578 93.2994) + (xy 156.267925 93.2994) + (xy 156.334881 93.293719) + (xy 156.434562 93.285261) + (xy 156.434566 93.28526) + (xy 156.439873 93.28481) + (xy 156.445028 93.283472) + (xy 156.445034 93.283471) + (xy 156.658003 93.228195) + (xy 156.658007 93.228194) + (xy 156.663172 93.226853) + (xy 156.668038 93.224661) + (xy 156.668041 93.22466) + (xy 156.868649 93.134293) + (xy 156.873515 93.132101) + (xy 156.877935 93.129125) + (xy 156.877939 93.129123) + (xy 157.024503 93.030449) + (xy 157.064885 93.003262) + (xy 157.231812 92.844022) + (xy 157.265541 92.798689) + (xy 157.366337 92.663214) + (xy 157.366339 92.663211) + (xy 157.369521 92.658934) + (xy 157.424305 92.551183) + (xy 157.471658 92.458046) + (xy 157.471658 92.458045) + (xy 157.474077 92.453288) + (xy 157.522606 92.297) + (xy 157.540905 92.23807) + (xy 157.540906 92.238064) + (xy 157.542489 92.232967) + (xy 157.559988 92.100937) + (xy 157.5721 92.009553) + (xy 157.5721 92.009548) + (xy 157.5728 92.004268) + (xy 157.571983 91.982489) + (xy 157.567975 91.875732) + (xy 166.8472 91.875732) + (xy 166.8474 91.881062) + (xy 166.8474 91.881063) + (xy 166.851364 91.986659) + (xy 166.855854 92.106268) + (xy 166.903228 92.33205) + (xy 166.987967 92.546622) + (xy 167.107647 92.743849) + (xy 167.111144 92.747879) + (xy 167.197768 92.847704) + (xy 167.258847 92.918092) + (xy 167.262978 92.921479) + (xy 167.433115 93.060984) + (xy 167.433121 93.060988) + (xy 167.437243 93.064368) + (xy 167.637735 93.178494) + (xy 167.642751 93.180315) + (xy 167.642756 93.180317) + (xy 167.849575 93.255389) + (xy 167.849579 93.25539) + (xy 167.85459 93.257209) + (xy 167.859839 93.258158) + (xy 167.859842 93.258159) + (xy 168.077523 93.297522) + (xy 168.07753 93.297523) + (xy 168.081607 93.29826) + (xy 168.099344 93.299096) + (xy 168.104292 93.29933) + (xy 168.104299 93.29933) + (xy 168.10578 93.2994) + (xy 168.267925 93.2994) + (xy 168.334881 93.293719) + (xy 168.434562 93.285261) + (xy 168.434566 93.28526) + (xy 168.439873 93.28481) + (xy 168.445028 93.283472) + (xy 168.445034 93.283471) + (xy 168.658003 93.228195) + (xy 168.658007 93.228194) + (xy 168.663172 93.226853) + (xy 168.668038 93.224661) + (xy 168.668041 93.22466) + (xy 168.868649 93.134293) + (xy 168.873515 93.132101) + (xy 168.877935 93.129125) + (xy 168.877939 93.129123) + (xy 169.024503 93.030449) + (xy 169.064885 93.003262) + (xy 169.231812 92.844022) + (xy 169.265541 92.798689) + (xy 169.366337 92.663214) + (xy 169.366339 92.663211) + (xy 169.369521 92.658934) + (xy 169.424305 92.551183) + (xy 169.471658 92.458046) + (xy 169.471658 92.458045) + (xy 169.474077 92.453288) + (xy 169.522606 92.297) + (xy 169.540905 92.23807) + (xy 169.540906 92.238064) + (xy 169.542489 92.232967) + (xy 169.559988 92.100937) + (xy 169.5721 92.009553) + (xy 169.5721 92.009548) + (xy 169.5728 92.004268) + (xy 169.571983 91.982489) + (xy 169.564346 91.779063) + (xy 169.564146 91.773732) + (xy 169.516772 91.54795) + (xy 169.511066 91.5335) + (xy 169.468893 91.426712) + (xy 169.432033 91.333378) + (xy 169.312353 91.136151) + (xy 169.294907 91.116046) + (xy 169.164653 90.965941) + (xy 169.164651 90.965939) + (xy 169.161153 90.961908) + (xy 169.113188 90.922579) + (xy 168.986885 90.819016) + (xy 168.986879 90.819012) + (xy 168.982757 90.815632) + (xy 168.782265 90.701506) + (xy 168.777249 90.699685) + (xy 168.777244 90.699683) + (xy 168.570425 90.624611) + (xy 168.570421 90.62461) + (xy 168.56541 90.622791) + (xy 168.560161 90.621842) + (xy 168.560158 90.621841) + (xy 168.342477 90.582478) + (xy 168.34247 90.582477) + (xy 168.338393 90.58174) + (xy 168.320656 90.580904) + (xy 168.315708 90.58067) + (xy 168.315701 90.58067) + (xy 168.31422 90.5806) + (xy 168.152075 90.5806) + (xy 168.085119 90.586281) + (xy 167.985438 90.594739) + (xy 167.985434 90.59474) + (xy 167.980127 90.59519) + (xy 167.974972 90.596528) + (xy 167.974966 90.596529) + (xy 167.761997 90.651805) + (xy 167.761993 90.651806) + (xy 167.756828 90.653147) + (xy 167.751962 90.655339) + (xy 167.751959 90.65534) + (xy 167.675012 90.690002) + (xy 167.546485 90.747899) + (xy 167.542065 90.750875) + (xy 167.542061 90.750877) + (xy 167.50435 90.776266) + (xy 167.355115 90.876738) + (xy 167.188188 91.035978) + (xy 167.050479 91.221066) + (xy 167.048064 91.225816) + (xy 166.993377 91.333378) + (xy 166.945923 91.426712) + (xy 166.91606 91.522887) + (xy 166.879095 91.64193) + (xy 166.879094 91.641936) + (xy 166.877511 91.647033) + (xy 166.863598 91.752008) + (xy 166.850324 91.852163) + (xy 166.8472 91.875732) + (xy 157.567975 91.875732) + (xy 157.564346 91.779063) + (xy 157.564146 91.773732) + (xy 157.516772 91.54795) + (xy 157.511066 91.5335) + (xy 157.468893 91.426712) + (xy 157.432033 91.333378) + (xy 157.312353 91.136151) + (xy 157.294907 91.116046) + (xy 157.164653 90.965941) + (xy 157.164651 90.965939) + (xy 157.161153 90.961908) + (xy 157.113188 90.922579) + (xy 156.986885 90.819016) + (xy 156.986879 90.819012) + (xy 156.982757 90.815632) + (xy 156.782265 90.701506) + (xy 156.777249 90.699685) + (xy 156.777244 90.699683) + (xy 156.570425 90.624611) + (xy 156.570421 90.62461) + (xy 156.56541 90.622791) + (xy 156.560161 90.621842) + (xy 156.560158 90.621841) + (xy 156.342477 90.582478) + (xy 156.34247 90.582477) + (xy 156.338393 90.58174) + (xy 156.320656 90.580904) + (xy 156.315708 90.58067) + (xy 156.315701 90.58067) + (xy 156.31422 90.5806) + (xy 156.152075 90.5806) + (xy 156.085119 90.586281) + (xy 155.985438 90.594739) + (xy 155.985434 90.59474) + (xy 155.980127 90.59519) + (xy 155.974972 90.596528) + (xy 155.974966 90.596529) + (xy 155.761997 90.651805) + (xy 155.761993 90.651806) + (xy 155.756828 90.653147) + (xy 155.751962 90.655339) + (xy 155.751959 90.65534) + (xy 155.675012 90.690002) + (xy 155.546485 90.747899) + (xy 155.542065 90.750875) + (xy 155.542061 90.750877) + (xy 155.50435 90.776266) + (xy 155.355115 90.876738) + (xy 155.188188 91.035978) + (xy 155.050479 91.221066) + (xy 155.048064 91.225816) + (xy 154.993377 91.333378) + (xy 154.945923 91.426712) + (xy 154.91606 91.522887) + (xy 154.879095 91.64193) + (xy 154.879094 91.641936) + (xy 154.877511 91.647033) + (xy 154.863598 91.752008) + (xy 154.850324 91.852163) + (xy 154.8472 91.875732) + (xy 152.935946 91.875732) + (xy 152.912112 91.603312) + (xy 152.911748 91.599151) + (xy 152.900478 91.548732) + (xy 152.84824 91.315028) + (xy 152.848238 91.315021) + (xy 152.847328 91.31095) + (xy 152.825278 91.251019) + (xy 152.777008 91.119828) + (xy 152.745356 91.033801) + (xy 152.709578 90.965941) + (xy 152.660978 90.873764) + (xy 152.607626 90.772573) + (xy 152.544325 90.683499) + (xy 152.438981 90.535265) + (xy 152.438976 90.535259) + (xy 152.436557 90.531855) + (xy 152.433713 90.528805) + (xy 152.433708 90.528799) + (xy 152.332654 90.420432) + (xy 152.300825 90.35697) + (xy 152.308358 90.286374) + (xy 152.352863 90.231058) + (xy 152.424805 90.2085) + (xy 171.992223 90.2085) + (xy 172.060344 90.228502) + (xy 172.106837 90.282158) + (xy 172.116941 90.352432) + (xy 172.087891 90.416498) + (xy 171.932488 90.59781) + (xy 171.925991 90.60539) + (xy 171.923717 90.608892) + (xy 171.923713 90.608897) + (xy 171.76743 90.849551) + (xy 171.765151 90.853061) + (xy 171.763357 90.856839) + (xy 171.763356 90.856841) + (xy 171.718626 90.951042) + (xy 171.638481 91.119828) + (xy 171.637202 91.123811) + (xy 171.637201 91.123814) + (xy 171.549485 91.397017) + (xy 171.548205 91.401004) + (xy 171.542661 91.431815) + (xy 171.498087 91.679552) + (xy 171.49591 91.69165) + (xy 171.495721 91.695817) + (xy 171.49572 91.695824) + (xy 171.484421 91.944658) + (xy 171.482514 91.986659) + (xy 171.482877 91.990807) + (xy 171.482877 91.990811) + (xy 171.499475 92.180524) + (xy 171.508252 92.280849) + (xy 171.509162 92.284921) + (xy 171.509163 92.284926) + (xy 171.567659 92.546622) + (xy 171.572672 92.56905) + (xy 171.674644 92.846199) + (xy 171.676591 92.849892) + (xy 171.676592 92.849894) + (xy 171.719543 92.931357) + (xy 171.812374 93.107427) + (xy 171.814794 93.110832) + (xy 171.981019 93.344735) + (xy 171.981024 93.344741) + (xy 171.983443 93.348145) + (xy 171.986287 93.351195) + (xy 171.986292 93.351201) + (xy 172.080483 93.452208) + (xy 172.184846 93.564124) + (xy 172.413045 93.751568) + (xy 172.664029 93.907185) + (xy 172.93339 94.028241) + (xy 173.00532 94.049684) + (xy 173.205161 94.109259) + (xy 173.216395 94.112608) + (xy 173.220515 94.113261) + (xy 173.220517 94.113261) + (xy 173.504592 94.158255) + (xy 173.504598 94.158256) + (xy 173.508073 94.158806) + (xy 173.532632 94.159921) + (xy 173.599017 94.162936) + (xy 173.599038 94.162936) + (xy 173.600437 94.163) + (xy 173.784901 94.163) + (xy 174.004664 94.148403) + (xy 174.008763 94.147577) + (xy 174.008767 94.147576) + (xy 174.18219 94.112608) + (xy 174.294151 94.090033) + (xy 174.573375 93.993888) + (xy 174.743089 93.908902) + (xy 174.833695 93.86353) + (xy 174.833697 93.863529) + (xy 174.837431 93.861659) + (xy 175.081678 93.695668) + (xy 175.301827 93.498832) + (xy 175.381968 93.40533) + (xy 175.491289 93.277784) + (xy 175.491292 93.27778) + (xy 175.494009 93.27461) + (xy 175.496283 93.271108) + (xy 175.496287 93.271103) + (xy 175.65257 93.030449) + (xy 175.652573 93.030444) + (xy 175.654849 93.026939) + (xy 175.66468 93.006236) + (xy 175.74067 92.846199) + (xy 175.781519 92.760172) + (xy 175.792232 92.726807) + (xy 175.870515 92.482983) + (xy 175.870515 92.482982) + (xy 175.871795 92.478996) + (xy 175.9056 92.291115) + (xy 175.923351 92.192459) + (xy 175.923352 92.192454) + (xy 175.92409 92.18835) + (xy 175.924446 92.180524) + (xy 175.937297 91.897511) + (xy 175.937297 91.897506) + (xy 175.937486 91.893341) + (xy 175.935946 91.875732) + (xy 177.8472 91.875732) + (xy 177.8474 91.881062) + (xy 177.8474 91.881063) + (xy 177.851364 91.986659) + (xy 177.855854 92.106268) + (xy 177.903228 92.33205) + (xy 177.987967 92.546622) + (xy 178.107647 92.743849) + (xy 178.111144 92.747879) + (xy 178.197768 92.847704) + (xy 178.258847 92.918092) + (xy 178.262978 92.921479) + (xy 178.433115 93.060984) + (xy 178.433121 93.060988) + (xy 178.437243 93.064368) + (xy 178.637735 93.178494) + (xy 178.642751 93.180315) + (xy 178.642756 93.180317) + (xy 178.849575 93.255389) + (xy 178.849579 93.25539) + (xy 178.85459 93.257209) + (xy 178.859839 93.258158) + (xy 178.859842 93.258159) + (xy 179.077523 93.297522) + (xy 179.07753 93.297523) + (xy 179.081607 93.29826) + (xy 179.099344 93.299096) + (xy 179.104292 93.29933) + (xy 179.104299 93.29933) + (xy 179.10578 93.2994) + (xy 179.267925 93.2994) + (xy 179.334881 93.293719) + (xy 179.434562 93.285261) + (xy 179.434566 93.28526) + (xy 179.439873 93.28481) + (xy 179.445028 93.283472) + (xy 179.445034 93.283471) + (xy 179.658003 93.228195) + (xy 179.658007 93.228194) + (xy 179.663172 93.226853) + (xy 179.668038 93.224661) + (xy 179.668041 93.22466) + (xy 179.868649 93.134293) + (xy 179.873515 93.132101) + (xy 179.877935 93.129125) + (xy 179.877939 93.129123) + (xy 180.024503 93.030449) + (xy 180.064885 93.003262) + (xy 180.231812 92.844022) + (xy 180.265541 92.798689) + (xy 180.366337 92.663214) + (xy 180.366339 92.663211) + (xy 180.369521 92.658934) + (xy 180.424305 92.551183) + (xy 180.471658 92.458046) + (xy 180.471658 92.458045) + (xy 180.474077 92.453288) + (xy 180.522606 92.297) + (xy 180.540905 92.23807) + (xy 180.540906 92.238064) + (xy 180.542489 92.232967) + (xy 180.559988 92.100937) + (xy 180.5721 92.009553) + (xy 180.5721 92.009548) + (xy 180.5728 92.004268) + (xy 180.571983 91.982489) + (xy 180.564346 91.779063) + (xy 180.564146 91.773732) + (xy 180.516772 91.54795) + (xy 180.511066 91.5335) + (xy 180.468893 91.426712) + (xy 180.432033 91.333378) + (xy 180.312353 91.136151) + (xy 180.294907 91.116046) + (xy 180.164653 90.965941) + (xy 180.164651 90.965939) + (xy 180.161153 90.961908) + (xy 180.113188 90.922579) + (xy 179.986885 90.819016) + (xy 179.986879 90.819012) + (xy 179.982757 90.815632) + (xy 179.782265 90.701506) + (xy 179.777249 90.699685) + (xy 179.777244 90.699683) + (xy 179.570425 90.624611) + (xy 179.570421 90.62461) + (xy 179.56541 90.622791) + (xy 179.560161 90.621842) + (xy 179.560158 90.621841) + (xy 179.342477 90.582478) + (xy 179.34247 90.582477) + (xy 179.338393 90.58174) + (xy 179.320656 90.580904) + (xy 179.315708 90.58067) + (xy 179.315701 90.58067) + (xy 179.31422 90.5806) + (xy 179.152075 90.5806) + (xy 179.085119 90.586281) + (xy 178.985438 90.594739) + (xy 178.985434 90.59474) + (xy 178.980127 90.59519) + (xy 178.974972 90.596528) + (xy 178.974966 90.596529) + (xy 178.761997 90.651805) + (xy 178.761993 90.651806) + (xy 178.756828 90.653147) + (xy 178.751962 90.655339) + (xy 178.751959 90.65534) + (xy 178.675012 90.690002) + (xy 178.546485 90.747899) + (xy 178.542065 90.750875) + (xy 178.542061 90.750877) + (xy 178.50435 90.776266) + (xy 178.355115 90.876738) + (xy 178.188188 91.035978) + (xy 178.050479 91.221066) + (xy 178.048064 91.225816) + (xy 177.993377 91.333378) + (xy 177.945923 91.426712) + (xy 177.91606 91.522887) + (xy 177.879095 91.64193) + (xy 177.879094 91.641936) + (xy 177.877511 91.647033) + (xy 177.863598 91.752008) + (xy 177.850324 91.852163) + (xy 177.8472 91.875732) + (xy 175.935946 91.875732) + (xy 175.912112 91.603312) + (xy 175.911748 91.599151) + (xy 175.900478 91.548732) + (xy 175.84824 91.315028) + (xy 175.848238 91.315021) + (xy 175.847328 91.31095) + (xy 175.825278 91.251019) + (xy 175.777008 91.119828) + (xy 175.745356 91.033801) + (xy 175.709578 90.965941) + (xy 175.660978 90.873764) + (xy 175.607626 90.772573) + (xy 175.544325 90.683499) + (xy 175.438981 90.535265) + (xy 175.438976 90.535259) + (xy 175.436557 90.531855) + (xy 175.433713 90.528805) + (xy 175.433708 90.528799) + (xy 175.332654 90.420432) + (xy 175.300825 90.35697) + (xy 175.308358 90.286374) + (xy 175.352863 90.231058) + (xy 175.424805 90.2085) + (xy 175.551864 90.2085) + (xy 175.568307 90.209578) + (xy 175.6 90.21375) + (xy 175.608189 90.212672) + (xy 175.639874 90.208501) + (xy 175.639884 90.2085) + (xy 175.639885 90.2085) + (xy 175.712189 90.198981) + (xy 175.739457 90.195391) + (xy 175.750664 90.193916) + (xy 175.750666 90.193915) + (xy 175.758851 90.192838) + (xy 175.906876 90.131524) + (xy 175.916794 90.123914) + (xy 176.002072 90.058477) + (xy 176.002075 90.058474) + (xy 176.027437 90.039013) + (xy 176.033987 90.033987) + (xy 176.053458 90.008613) + (xy 176.064316 89.996233) + (xy 177.196234 88.864315) + (xy 177.208625 88.853448) + (xy 177.227437 88.839013) + (xy 177.233987 88.833987) + (xy 177.258474 88.802075) + (xy 177.258477 88.802072) + (xy 177.331523 88.706876) + (xy 177.331524 88.706875) + (xy 177.341547 88.682679) + (xy 177.389678 88.566479) + (xy 177.392838 88.55885) + (xy 177.4085 88.439885) + (xy 177.4085 88.439878) + (xy 177.41375 88.4) + (xy 177.409578 88.368307) + (xy 177.4085 88.351864) + (xy 177.4085 74.568144) + (xy 177.409578 74.551698) + (xy 177.412673 74.528188) + (xy 177.413751 74.52) + (xy 177.392838 74.361149) + (xy 177.331524 74.213124) + (xy 177.258478 74.117929) + (xy 177.258474 74.117925) + (xy 177.250319 74.107297) + (xy 177.239016 74.092566) + (xy 177.239013 74.092563) + (xy 177.233987 74.086013) + (xy 177.21458 74.071121) + (xy 177.208621 74.066548) + (xy 177.19623 74.055681) + (xy 176.898383 73.757834) + (xy 176.864357 73.695522) + (xy 176.87095 73.621836) + (xy 176.870945 73.621834) + (xy 176.870952 73.621813) + (xy 176.871067 73.620527) + (xy 176.872472 73.617135) + (xy 176.872475 73.617125) + (xy 176.874369 73.612553) + (xy 176.923358 73.4085) + (xy 176.929232 73.384035) + (xy 176.929233 73.384029) + (xy 176.930387 73.379222) + (xy 176.949214 73.14) + (xy 176.930387 72.900778) + (xy 176.929233 72.895971) + (xy 176.929232 72.895965) + (xy 176.875524 72.672259) + (xy 176.874369 72.667447) + (xy 176.850293 72.609321) + (xy 176.784434 72.450323) + (xy 176.784433 72.450321) + (xy 176.78254 72.445751) + (xy 176.683606 72.284306) + (xy 179.540524 72.284306) + (xy 179.546251 72.291956) + (xy 179.721759 72.399507) + (xy 179.730553 72.403988) + (xy 179.943029 72.491998) + (xy 179.952414 72.495047) + (xy 180.176044 72.548737) + (xy 180.185791 72.55028) + (xy 180.41507 72.568325) + (xy 180.42493 72.568325) + (xy 180.654209 72.55028) + (xy 180.663956 72.548737) + (xy 180.887586 72.495047) + (xy 180.896971 72.491998) + (xy 181.109447 72.403988) + (xy 181.118241 72.399507) + (xy 181.290083 72.294203) + (xy 181.299543 72.283747) + (xy 181.295759 72.274969) + (xy 180.432812 71.412022) + (xy 180.418868 71.404408) + (xy 180.417035 71.404539) + (xy 180.41042 71.40879) + (xy 179.547284 72.271926) + (xy 179.540524 72.284306) + (xy 176.683606 72.284306) + (xy 176.65716 72.241151) + (xy 176.638804 72.219658) + (xy 176.504531 72.062444) + (xy 176.501318 72.058682) + (xy 176.409276 71.980071) + (xy 176.322617 71.906058) + (xy 176.322616 71.906057) + (xy 176.318849 71.90284) + (xy 176.114249 71.77746) + (xy 176.109679 71.775567) + (xy 176.109677 71.775566) + (xy 175.897126 71.687525) + (xy 175.897124 71.687524) + (xy 175.892553 71.685631) + (xy 175.810963 71.666043) + (xy 175.664035 71.630768) + (xy 175.664029 71.630767) + (xy 175.659222 71.629613) + (xy 175.42 71.610786) + (xy 175.180778 71.629613) + (xy 175.175971 71.630767) + (xy 175.175965 71.630768) + (xy 175.029037 71.666043) + (xy 174.947447 71.685631) + (xy 174.942876 71.687524) + (xy 174.942874 71.687525) + (xy 174.730323 71.775566) + (xy 174.730321 71.775567) + (xy 174.725751 71.77746) + (xy 174.521151 71.90284) + (xy 174.517384 71.906057) + (xy 174.517383 71.906058) + (xy 174.430724 71.980071) + (xy 174.338682 72.058682) + (xy 174.335469 72.062444) + (xy 174.201197 72.219658) + (xy 174.18284 72.241151) + (xy 174.05746 72.445751) + (xy 174.055567 72.450321) + (xy 174.055566 72.450323) + (xy 173.989707 72.609321) + (xy 173.965631 72.667447) + (xy 173.964476 72.672259) + (xy 173.910768 72.895965) + (xy 173.910767 72.895971) + (xy 173.909613 72.900778) + (xy 173.890786 73.14) + (xy 173.909613 73.379222) + (xy 173.910767 73.384029) + (xy 173.910768 73.384035) + (xy 173.916642 73.4085) + (xy 173.965631 73.612553) + (xy 173.967524 73.617124) + (xy 173.967525 73.617126) + (xy 174.05522 73.82884) + (xy 174.05746 73.834249) + (xy 174.18284 74.038849) + (xy 174.186057 74.042616) + (xy 174.186058 74.042617) + (xy 174.228718 74.092566) + (xy 174.338682 74.221318) + (xy 174.521151 74.37716) + (xy 174.725751 74.50254) + (xy 174.730321 74.504433) + (xy 174.730323 74.504434) + (xy 174.930446 74.587327) + (xy 174.947447 74.594369) + (xy 174.995656 74.605943) + (xy 175.175965 74.649232) + (xy 175.175971 74.649233) + (xy 175.180778 74.650387) + (xy 175.42 74.669214) + (xy 175.659222 74.650387) + (xy 175.664029 74.649233) + (xy 175.664035 74.649232) + (xy 175.844344 74.605943) + (xy 175.892553 74.594369) + (xy 175.897125 74.592475) + (xy 175.897135 74.592472) + (xy 175.900527 74.591067) + (xy 175.971117 74.583481) + (xy 176.037834 74.618383) + (xy 176.154595 74.735144) + (xy 176.188621 74.797456) + (xy 176.1915 74.824239) + (xy 176.1915 88.095761) + (xy 176.171498 88.163882) + (xy 176.154595 88.184856) + (xy 175.384856 88.954595) + (xy 175.322544 88.988621) + (xy 175.295761 88.9915) + (xy 172.499272 88.9915) + (xy 172.431151 88.971498) + (xy 172.384658 88.917842) + (xy 172.374554 88.847568) + (xy 172.404048 88.782988) + (xy 172.4101 88.776482) + (xy 172.423982 88.762576) + (xy 172.432998 88.75116) + (xy 172.515004 88.61812) + (xy 172.521151 88.604939) + (xy 172.570491 88.456186) + (xy 172.573358 88.44281) + (xy 172.582672 88.351903) + (xy 172.582929 88.346874) + (xy 172.578525 88.331876) + (xy 172.577135 88.330671) + (xy 172.569452 88.329) + (xy 170.635115 88.329) + (xy 170.619876 88.333475) + (xy 170.618671 88.334865) + (xy 170.617 88.342548) + (xy 170.617 88.345438) + (xy 170.617337 88.351953) + (xy 170.626894 88.444057) + (xy 170.629788 88.457456) + (xy 170.679381 88.606107) + (xy 170.685555 88.619286) + (xy 170.767788 88.752173) + (xy 170.776828 88.763579) + (xy 170.789599 88.776328) + (xy 170.823678 88.83861) + (xy 170.818675 88.90943) + (xy 170.776177 88.966303) + (xy 170.709678 88.991171) + (xy 170.700581 88.9915) + (xy 153.849272 88.9915) + (xy 153.781151 88.971498) + (xy 153.734658 88.917842) + (xy 153.724554 88.847568) + (xy 153.754048 88.782988) + (xy 153.7601 88.776482) + (xy 153.773982 88.762576) + (xy 153.782998 88.75116) + (xy 153.865004 88.61812) + (xy 153.871151 88.604939) + (xy 153.920491 88.456186) + (xy 153.923358 88.44281) + (xy 153.932672 88.351903) + (xy 153.932929 88.346874) + (xy 153.928525 88.331876) + (xy 153.927135 88.330671) + (xy 153.919452 88.329) + (xy 151.985115 88.329) + (xy 151.969876 88.333475) + (xy 151.968671 88.334865) + (xy 151.967 88.342548) + (xy 151.967 88.345438) + (xy 151.967337 88.351953) + (xy 151.976894 88.444057) + (xy 151.979788 88.457456) + (xy 152.029381 88.606107) + (xy 152.035555 88.619286) + (xy 152.117788 88.752173) + (xy 152.126828 88.763579) + (xy 152.139599 88.776328) + (xy 152.173678 88.83861) + (xy 152.168675 88.90943) + (xy 152.126177 88.966303) + (xy 152.059678 88.991171) + (xy 152.050581 88.9915) + (xy 136.004239 88.9915) + (xy 135.936118 88.971498) + (xy 135.915144 88.954595) + (xy 134.864315 87.903766) + (xy 134.853448 87.891375) + (xy 134.839013 87.872563) + (xy 134.833987 87.866013) + (xy 134.802075 87.841526) + (xy 134.802072 87.841523) + (xy 134.775326 87.821) + (xy 134.713429 87.773504) + (xy 134.713427 87.773503) + (xy 134.706876 87.768476) + (xy 134.558851 87.707162) + (xy 134.550664 87.706084) + (xy 134.550663 87.706084) + (xy 134.537544 87.704357) + (xy 134.439893 87.691501) + (xy 134.439891 87.691501) + (xy 134.439885 87.6915) + (xy 134.439883 87.6915) + (xy 134.439874 87.691499) + (xy 134.408189 87.687328) + (xy 134.4 87.68625) + (xy 134.368307 87.690422) + (xy 134.351864 87.6915) + (xy 129.974867 87.6915) + (xy 129.906746 87.671498) + (xy 129.860253 87.617842) + (xy 129.850149 87.547568) + (xy 129.879643 87.482988) + (xy 129.923618 87.450393) + (xy 130.050722 87.393803) + (xy 130.050724 87.393802) + (xy 130.056752 87.391118) + (xy 130.06699 87.38368) + (xy 130.137344 87.332564) + (xy 130.204211 87.308706) + (xy 130.211405 87.3085) + (xy 151.388595 87.3085) + (xy 151.456716 87.328502) + (xy 151.462656 87.332564) + (xy 151.533011 87.38368) + (xy 151.543248 87.391118) + (xy 151.549276 87.393802) + (xy 151.549278 87.393803) + (xy 151.706861 87.463963) + (xy 151.717712 87.468794) + (xy 151.820837 87.490714) + (xy 151.894265 87.506322) + (xy 151.956739 87.540051) + (xy 151.99106 87.6022) + (xy 151.987661 87.669236) + (xy 151.97951 87.693811) + (xy 151.976642 87.70719) + (xy 151.967328 87.798097) + (xy 151.967071 87.803126) + (xy 151.971475 87.818124) + (xy 151.972865 87.819329) + (xy 151.980548 87.821) + (xy 153.914885 87.821) + (xy 153.930124 87.816525) + (xy 153.931329 87.815135) + (xy 153.933 87.807452) + (xy 153.933 87.804562) + (xy 153.932663 87.798047) + (xy 153.923106 87.705943) + (xy 153.920212 87.692544) + (xy 153.870619 87.543893) + (xy 153.864445 87.530715) + (xy 153.799524 87.425803) + (xy 153.780686 87.357351) + (xy 153.801847 87.289581) + (xy 153.856288 87.24401) + (xy 153.906668 87.2335) + (xy 170.643237 87.2335) + (xy 170.711358 87.253502) + (xy 170.757851 87.307158) + (xy 170.767955 87.377432) + (xy 170.750497 87.425616) + (xy 170.684996 87.53188) + (xy 170.678849 87.545061) + (xy 170.629509 87.693814) + (xy 170.626642 87.70719) + (xy 170.617328 87.798097) + (xy 170.617071 87.803126) + (xy 170.621475 87.818124) + (xy 170.622865 87.819329) + (xy 170.630548 87.821) + (xy 172.564885 87.821) + (xy 172.580124 87.816525) + (xy 172.581329 87.815135) + (xy 172.583 87.807452) + (xy 172.583 87.804562) + (xy 172.582663 87.798047) + (xy 172.573106 87.705943) + (xy 172.570212 87.692544) + (xy 172.520619 87.543893) + (xy 172.514445 87.530714) + (xy 172.432212 87.397827) + (xy 172.418629 87.380689) + (xy 172.420559 87.379159) + (xy 172.392097 87.32712) + (xy 172.397113 87.256301) + (xy 172.420799 87.219383) + (xy 172.419843 87.218628) + (xy 172.424381 87.212882) + (xy 172.429552 87.207702) + (xy 172.452147 87.171046) + (xy 172.515462 87.068331) + (xy 172.515463 87.068329) + (xy 172.519302 87.062101) + (xy 172.573149 86.899757) + (xy 172.576045 86.871498) + (xy 172.583172 86.80193) + (xy 172.5835 86.798732) + (xy 172.5835 86.445047) + (xy 172.587458 86.413713) + (xy 172.588522 86.409571) + (xy 172.591282 86.402491) + (xy 172.599622 86.339139) + (xy 172.600654 86.332623) + (xy 172.610911 86.277281) + (xy 172.612295 86.269814) + (xy 172.608709 86.20762) + (xy 172.6085 86.200367) + (xy 172.6085 85.818744) + (xy 172.628502 85.750623) + (xy 172.632564 85.744683) + (xy 172.634621 85.741852) + (xy 172.63904 85.736944) + (xy 172.662937 85.695553) + (xy 172.731223 85.577279) + (xy 172.731224 85.577278) + (xy 172.734527 85.571556) + (xy 172.793542 85.389928) + (xy 172.795368 85.372561) + (xy 172.812814 85.206565) + (xy 172.813504 85.2) + (xy 172.806121 85.129757) + (xy 172.794232 85.016635) + (xy 172.794232 85.016633) + (xy 172.793542 85.010072) + (xy 172.734527 84.828444) + (xy 172.725092 84.812101) + (xy 172.642342 84.668775) + (xy 172.642341 84.668774) + (xy 172.63904 84.663056) + (xy 172.634621 84.658148) + (xy 172.632564 84.655317) + (xy 172.608705 84.588449) + (xy 172.6085 84.581256) + (xy 172.6085 71.04493) + (xy 178.891675 71.04493) + (xy 178.90972 71.274209) + (xy 178.911263 71.283956) + (xy 178.964953 71.507586) + (xy 178.968002 71.516971) + (xy 179.056012 71.729447) + (xy 179.060493 71.738241) + (xy 179.165797 71.910083) + (xy 179.176253 71.919543) + (xy 179.185031 71.915759) + (xy 180.047978 71.052812) + (xy 180.054356 71.041132) + (xy 180.784408 71.041132) + (xy 180.784539 71.042965) + (xy 180.78879 71.04958) + (xy 181.651926 71.912716) + (xy 181.664306 71.919476) + (xy 181.671956 71.913749) + (xy 181.779507 71.738241) + (xy 181.783988 71.729447) + (xy 181.871998 71.516971) + (xy 181.875047 71.507586) + (xy 181.928737 71.283956) + (xy 181.93028 71.274209) + (xy 181.948325 71.04493) + (xy 181.948325 71.03507) + (xy 181.93028 70.805791) + (xy 181.928737 70.796044) + (xy 181.875047 70.572414) + (xy 181.871998 70.563029) + (xy 181.783988 70.350553) + (xy 181.779507 70.341759) + (xy 181.674203 70.169917) + (xy 181.663747 70.160457) + (xy 181.654969 70.164241) + (xy 180.792022 71.027188) + (xy 180.784408 71.041132) + (xy 180.054356 71.041132) + (xy 180.055592 71.038868) + (xy 180.055461 71.037035) + (xy 180.05121 71.03042) + (xy 179.188074 70.167284) + (xy 179.175694 70.160524) + (xy 179.168044 70.166251) + (xy 179.060493 70.341759) + (xy 179.056012 70.350553) + (xy 178.968002 70.563029) + (xy 178.964953 70.572414) + (xy 178.911263 70.796044) + (xy 178.90972 70.805791) + (xy 178.891675 71.03507) + (xy 178.891675 71.04493) + (xy 172.6085 71.04493) + (xy 172.6085 69.796253) + (xy 179.540457 69.796253) + (xy 179.544241 69.805031) + (xy 180.407188 70.667978) + (xy 180.421132 70.675592) + (xy 180.422965 70.675461) + (xy 180.42958 70.67121) + (xy 181.292716 69.808074) + (xy 181.299476 69.795694) + (xy 181.293749 69.788044) + (xy 181.118241 69.680493) + (xy 181.109447 69.676012) + (xy 180.896971 69.588002) + (xy 180.887586 69.584953) + (xy 180.663956 69.531263) + (xy 180.654209 69.52972) + (xy 180.42493 69.511675) + (xy 180.41507 69.511675) + (xy 180.185791 69.52972) + (xy 180.176044 69.531263) + (xy 179.952414 69.584953) + (xy 179.943029 69.588002) + (xy 179.730553 69.676012) + (xy 179.721759 69.680493) + (xy 179.549917 69.785797) + (xy 179.540457 69.796253) + (xy 172.6085 69.796253) + (xy 172.6085 67.286659) + (xy 173.192514 67.286659) + (xy 173.192877 67.290807) + (xy 173.192877 67.290811) + (xy 173.194517 67.309553) + (xy 173.218252 67.580849) + (xy 173.219162 67.584921) + (xy 173.219163 67.584926) + (xy 173.277659 67.846622) + (xy 173.282672 67.86905) + (xy 173.384644 68.146199) + (xy 173.386591 68.149892) + (xy 173.386592 68.149894) + (xy 173.422549 68.218092) + (xy 173.522374 68.407427) + (xy 173.524794 68.410832) + (xy 173.691019 68.644735) + (xy 173.691024 68.644741) + (xy 173.693443 68.648145) + (xy 173.696287 68.651195) + (xy 173.696292 68.651201) + (xy 173.831003 68.795661) + (xy 173.894846 68.864124) + (xy 174.123045 69.051568) + (xy 174.374029 69.207185) + (xy 174.377846 69.208901) + (xy 174.377849 69.208902) + (xy 174.441434 69.237478) + (xy 174.64339 69.328241) + (xy 174.926395 69.412608) + (xy 174.930515 69.413261) + (xy 174.930517 69.413261) + (xy 175.214592 69.458255) + (xy 175.214598 69.458256) + (xy 175.218073 69.458806) + (xy 175.242632 69.459921) + (xy 175.309017 69.462936) + (xy 175.309038 69.462936) + (xy 175.310437 69.463) + (xy 175.494901 69.463) + (xy 175.714664 69.448403) + (xy 175.718763 69.447577) + (xy 175.718767 69.447576) + (xy 175.89219 69.412608) + (xy 176.004151 69.390033) + (xy 176.283375 69.293888) + (xy 176.453089 69.208902) + (xy 176.543695 69.16353) + (xy 176.543697 69.163529) + (xy 176.547431 69.161659) + (xy 176.791678 68.995668) + (xy 177.011827 68.798832) + (xy 177.056217 68.747042) + (xy 177.201289 68.577784) + (xy 177.201292 68.57778) + (xy 177.204009 68.57461) + (xy 177.206283 68.571108) + (xy 177.206287 68.571103) + (xy 177.36257 68.330449) + (xy 177.362573 68.330444) + (xy 177.364849 68.326939) + (xy 177.37468 68.306236) + (xy 177.486409 68.070934) + (xy 177.491519 68.060172) + (xy 177.561676 67.841661) + (xy 177.580515 67.782983) + (xy 177.580515 67.782982) + (xy 177.581795 67.778996) + (xy 177.609174 67.626831) + (xy 177.633351 67.492459) + (xy 177.633352 67.492454) + (xy 177.63409 67.48835) + (xy 177.637581 67.411486) + (xy 177.647297 67.197511) + (xy 177.647297 67.197506) + (xy 177.647486 67.193341) + (xy 177.645946 67.175732) + (xy 179.5572 67.175732) + (xy 179.5574 67.181062) + (xy 179.5574 67.181063) + (xy 179.561364 67.286659) + (xy 179.565854 67.406268) + (xy 179.613228 67.63205) + (xy 179.697967 67.846622) + (xy 179.817647 68.043849) + (xy 179.821144 68.047879) + (xy 179.919927 68.161716) + (xy 179.968847 68.218092) + (xy 179.972978 68.221479) + (xy 180.143115 68.360984) + (xy 180.143121 68.360988) + (xy 180.147243 68.364368) + (xy 180.347735 68.478494) + (xy 180.352751 68.480315) + (xy 180.352756 68.480317) + (xy 180.559575 68.555389) + (xy 180.559579 68.55539) + (xy 180.56459 68.557209) + (xy 180.569839 68.558158) + (xy 180.569842 68.558159) + (xy 180.787523 68.597522) + (xy 180.78753 68.597523) + (xy 180.791607 68.59826) + (xy 180.809344 68.599096) + (xy 180.814292 68.59933) + (xy 180.814299 68.59933) + (xy 180.81578 68.5994) + (xy 180.977925 68.5994) + (xy 181.044881 68.593719) + (xy 181.144562 68.585261) + (xy 181.144566 68.58526) + (xy 181.149873 68.58481) + (xy 181.155028 68.583472) + (xy 181.155034 68.583471) + (xy 181.368003 68.528195) + (xy 181.368007 68.528194) + (xy 181.373172 68.526853) + (xy 181.378038 68.524661) + (xy 181.378041 68.52466) + (xy 181.578649 68.434293) + (xy 181.583515 68.432101) + (xy 181.587935 68.429125) + (xy 181.587939 68.429123) + (xy 181.734503 68.330449) + (xy 181.774885 68.303262) + (xy 181.941812 68.144022) + (xy 182.079521 67.958934) + (xy 182.134305 67.851183) + (xy 182.181658 67.758046) + (xy 182.181658 67.758045) + (xy 182.184077 67.753288) + (xy 182.237621 67.580849) + (xy 182.250905 67.53807) + (xy 182.250906 67.538064) + (xy 182.252489 67.532967) + (xy 182.2828 67.304268) + (xy 182.281983 67.282489) + (xy 182.274346 67.079063) + (xy 182.274146 67.073732) + (xy 182.226772 66.84795) + (xy 182.142033 66.633378) + (xy 182.022353 66.436151) + (xy 181.954971 66.3585) + (xy 181.874653 66.265941) + (xy 181.874651 66.265939) + (xy 181.871153 66.261908) + (xy 181.803694 66.206595) + (xy 181.696885 66.119016) + (xy 181.696879 66.119012) + (xy 181.692757 66.115632) + (xy 181.492265 66.001506) + (xy 181.487249 65.999685) + (xy 181.487244 65.999683) + (xy 181.280425 65.924611) + (xy 181.280421 65.92461) + (xy 181.27541 65.922791) + (xy 181.270161 65.921842) + (xy 181.270158 65.921841) + (xy 181.052477 65.882478) + (xy 181.05247 65.882477) + (xy 181.048393 65.88174) + (xy 181.030656 65.880904) + (xy 181.025708 65.88067) + (xy 181.025701 65.88067) + (xy 181.02422 65.8806) + (xy 180.862075 65.8806) + (xy 180.795119 65.886281) + (xy 180.695438 65.894739) + (xy 180.695434 65.89474) + (xy 180.690127 65.89519) + (xy 180.684972 65.896528) + (xy 180.684966 65.896529) + (xy 180.471997 65.951805) + (xy 180.471993 65.951806) + (xy 180.466828 65.953147) + (xy 180.461962 65.955339) + (xy 180.461959 65.95534) + (xy 180.290303 66.032665) + (xy 180.256485 66.047899) + (xy 180.252065 66.050875) + (xy 180.252061 66.050877) + (xy 180.1598 66.112992) + (xy 180.065115 66.176738) + (xy 179.898188 66.335978) + (xy 179.760479 66.521066) + (xy 179.758064 66.525816) + (xy 179.703377 66.633378) + (xy 179.655923 66.726712) + (xy 179.621717 66.836872) + (xy 179.589095 66.94193) + (xy 179.589094 66.941936) + (xy 179.587511 66.947033) + (xy 179.5572 67.175732) + (xy 177.645946 67.175732) + (xy 177.622112 66.903312) + (xy 177.621748 66.899151) + (xy 177.61147 66.853169) + (xy 177.55824 66.615028) + (xy 177.558238 66.615021) + (xy 177.557328 66.61095) + (xy 177.455356 66.333801) + (xy 177.433378 66.292115) + (xy 177.370978 66.173764) + (xy 177.317626 66.072573) + (xy 177.265826 65.999683) + (xy 177.148981 65.835265) + (xy 177.148976 65.835259) + (xy 177.146557 65.831855) + (xy 177.143713 65.828805) + (xy 177.143708 65.828799) + (xy 176.948 65.618928) + (xy 176.945154 65.615876) + (xy 176.716955 65.428432) + (xy 176.465971 65.272815) + (xy 176.459399 65.269861) + (xy 176.34491 65.218408) + (xy 176.19661 65.151759) + (xy 175.913605 65.067392) + (xy 175.909485 65.066739) + (xy 175.909483 65.066739) + (xy 175.625408 65.021745) + (xy 175.625402 65.021744) + (xy 175.621927 65.021194) + (xy 175.597368 65.020079) + (xy 175.530983 65.017064) + (xy 175.530962 65.017064) + (xy 175.529563 65.017) + (xy 175.345099 65.017) + (xy 175.125336 65.031597) + (xy 175.121237 65.032423) + (xy 175.121233 65.032424) + (xy 174.978639 65.061176) + (xy 174.835849 65.089967) + (xy 174.556625 65.186112) + (xy 174.492131 65.218408) + (xy 174.383483 65.272815) + (xy 174.292569 65.318341) + (xy 174.048322 65.484332) + (xy 173.828173 65.681168) + (xy 173.825456 65.684338) + (xy 173.825455 65.684339) + (xy 173.643586 65.896529) + (xy 173.635991 65.90539) + (xy 173.633717 65.908892) + (xy 173.633713 65.908897) + (xy 173.47743 66.149551) + (xy 173.475151 66.153061) + (xy 173.473357 66.156839) + (xy 173.473356 66.156841) + (xy 173.463908 66.176738) + (xy 173.348481 66.419828) + (xy 173.347202 66.423811) + (xy 173.347201 66.423814) + (xy 173.259485 66.697017) + (xy 173.258205 66.701004) + (xy 173.252661 66.731815) + (xy 173.207725 66.981565) + (xy 173.20591 66.99165) + (xy 173.205721 66.995817) + (xy 173.20572 66.995824) + (xy 173.197791 67.170447) + (xy 173.192514 67.286659) + (xy 172.6085 67.286659) + (xy 172.6085 64.352816) + (xy 172.628502 64.284695) + (xy 172.682158 64.238202) + (xy 172.747342 64.227472) + (xy 172.798097 64.232672) + (xy 172.804513 64.233) + (xy 172.827885 64.233) + (xy 172.843124 64.228525) + (xy 172.844329 64.227135) + (xy 172.846 64.219452) + (xy 172.846 64.214885) + (xy 173.354 64.214885) + (xy 173.358475 64.230124) + (xy 173.359865 64.231329) + (xy 173.367548 64.233) + (xy 173.395438 64.233) + (xy 173.401953 64.232663) + (xy 173.494057 64.223106) + (xy 173.507456 64.220212) + (xy 173.656107 64.170619) + (xy 173.669286 64.164445) + (xy 173.802173 64.082212) + (xy 173.813574 64.073176) + (xy 173.923986 63.962571) + (xy 173.932998 63.95116) + (xy 174.015004 63.81812) + (xy 174.021151 63.804939) + (xy 174.070491 63.656186) + (xy 174.073358 63.64281) + (xy 174.082672 63.551903) + (xy 174.082929 63.546874) + (xy 174.078525 63.531876) + (xy 174.077135 63.530671) + (xy 174.069452 63.529) + (xy 173.372115 63.529) + (xy 173.356876 63.533475) + (xy 173.355671 63.534865) + (xy 173.354 63.542548) + (xy 173.354 64.214885) + (xy 172.846 64.214885) + (xy 172.846 63.147) + (xy 172.866002 63.078879) + (xy 172.919658 63.032386) + (xy 172.972 63.021) + (xy 174.064885 63.021) + (xy 174.080124 63.016525) + (xy 174.081329 63.015135) + (xy 174.083 63.007452) + (xy 174.083 63.004562) + (xy 174.082663 62.998047) + (xy 174.073106 62.905943) + (xy 174.070211 62.892541) + (xy 174.064151 62.874375) + (xy 174.061567 62.803426) + (xy 174.097751 62.742342) + (xy 174.161216 62.710518) + (xy 174.183675 62.7085) + (xy 176.388595 62.7085) + (xy 176.456716 62.728502) + (xy 176.462656 62.732564) + (xy 176.521064 62.775) + (xy 176.543248 62.791118) + (xy 176.549276 62.793802) + (xy 176.549278 62.793803) + (xy 176.711681 62.866109) + (xy 176.717712 62.868794) + (xy 176.811113 62.888647) + (xy 176.898056 62.907128) + (xy 176.898061 62.907128) + (xy 176.904513 62.9085) + (xy 177.095487 62.9085) + (xy 177.101939 62.907128) + (xy 177.101944 62.907128) + (xy 177.188887 62.888647) + (xy 177.282288 62.868794) + (xy 177.288319 62.866109) + (xy 177.450722 62.793803) + (xy 177.450724 62.793802) + (xy 177.456752 62.791118) + (xy 177.478937 62.775) + (xy 177.532241 62.736272) + (xy 177.611253 62.678866) + (xy 177.618412 62.670915) + (xy 177.734621 62.541852) + (xy 177.734622 62.541851) + (xy 177.73904 62.536944) + (xy 177.827673 62.383427) + (xy 177.831223 62.377279) + (xy 177.831224 62.377278) + (xy 177.834527 62.371556) + (xy 177.893542 62.189928) + (xy 177.894479 62.181019) + (xy 177.912814 62.006565) + (xy 177.913504 62) + (xy 177.911629 61.982158) + (xy 177.894232 61.816635) + (xy 177.894232 61.816633) + (xy 177.893542 61.810072) + (xy 177.834527 61.628444) + (xy 177.73904 61.463056) + (xy 177.611253 61.321134) + (xy 177.473222 61.220848) + (xy 177.462094 61.212763) + (xy 177.462093 61.212762) + (xy 177.456752 61.208882) + (xy 177.450724 61.206198) + (xy 177.450722 61.206197) + (xy 177.288319 61.133891) + (xy 177.288318 61.133891) + (xy 177.282288 61.131206) + (xy 177.188888 61.111353) + (xy 177.101944 61.092872) + (xy 177.101939 61.092872) + (xy 177.095487 61.0915) + (xy 176.904513 61.0915) + (xy 176.898061 61.092872) + (xy 176.898056 61.092872) + (xy 176.811112 61.111353) + (xy 176.717712 61.131206) + (xy 176.711682 61.133891) + (xy 176.711681 61.133891) + (xy 176.549278 61.206197) + (xy 176.549276 61.206198) + (xy 176.543248 61.208882) + (xy 176.537907 61.212762) + (xy 176.537906 61.212763) + (xy 176.462656 61.267436) + (xy 176.395789 61.291294) + (xy 176.388595 61.2915) + (xy 174.1445 61.2915) + (xy 174.076379 61.271498) + (xy 174.029886 61.217842) + (xy 174.024977 61.205379) + (xy 174.021071 61.193672) + (xy 174.018756 61.186732) + (xy 173.983547 61.129834) + (xy 173.932606 61.047515) + (xy 173.928752 61.041287) + (xy 173.807702 60.920448) + (xy 173.800507 60.916013) + (xy 173.668331 60.834538) + (xy 173.668329 60.834537) + (xy 173.662101 60.830698) + (xy 173.499757 60.776851) + (xy 173.49292 60.776151) + (xy 173.492918 60.77615) + (xy 173.451599 60.771917) + (xy 173.398732 60.7665) + (xy 172.801268 60.7665) + (xy 172.798022 60.766837) + (xy 172.798018 60.766837) + (xy 172.763917 60.770375) + (xy 172.698981 60.777113) + (xy 172.69244 60.779295) + (xy 172.692441 60.779295) + (xy 172.543676 60.828927) + (xy 172.543674 60.828928) + (xy 172.536732 60.831244) + (xy 172.530508 60.835096) + (xy 172.530507 60.835096) + (xy 172.439317 60.891526) + (xy 172.391287 60.921248) + (xy 172.386114 60.92643) + (xy 172.358826 60.953766) + (xy 172.270448 61.042298) + (xy 172.266608 61.048528) + (xy 172.266607 61.048529) + (xy 172.185255 61.180507) + (xy 172.180698 61.187899) + (xy 172.126851 61.350243) + (xy 172.126275 61.355867) + (xy 172.092396 61.418133) + (xy 171.419465 62.091065) + (xy 171.413198 62.096919) + (xy 171.37534 62.129944) + (xy 171.375337 62.129947) + (xy 171.369615 62.134939) + (xy 171.365248 62.141153) + (xy 171.332872 62.187219) + (xy 171.328939 62.192514) + (xy 171.289524 62.242782) + (xy 171.286401 62.249698) + (xy 171.285017 62.251984) + (xy 171.276643 62.266665) + (xy 171.275378 62.269025) + (xy 171.27101 62.275239) + (xy 171.26825 62.282318) + (xy 171.268249 62.28232) + (xy 171.247798 62.334775) + (xy 171.245247 62.340844) + (xy 171.218955 62.399073) + (xy 171.217571 62.40654) + (xy 171.21677 62.409095) + (xy 171.212141 62.425348) + (xy 171.211478 62.427928) + (xy 171.208718 62.435009) + (xy 171.207727 62.44254) + (xy 171.207726 62.442542) + (xy 171.200379 62.498352) + (xy 171.199348 62.504859) + (xy 171.187704 62.567686) + (xy 171.188141 62.575266) + (xy 171.188141 62.575267) + (xy 171.191291 62.629892) + (xy 171.1915 62.637146) + (xy 171.1915 66.293624) + (xy 171.171498 66.361745) + (xy 171.117842 66.408238) + (xy 171.047568 66.418342) + (xy 170.982988 66.388848) + (xy 170.970334 66.376204) + (xy 170.874653 66.265941) + (xy 170.874651 66.265939) + (xy 170.871153 66.261908) + (xy 170.803694 66.206595) + (xy 170.696885 66.119016) + (xy 170.696879 66.119012) + (xy 170.692757 66.115632) + (xy 170.492265 66.001506) + (xy 170.487249 65.999685) + (xy 170.487244 65.999683) + (xy 170.280425 65.924611) + (xy 170.280421 65.92461) + (xy 170.27541 65.922791) + (xy 170.270161 65.921842) + (xy 170.270158 65.921841) + (xy 170.052477 65.882478) + (xy 170.05247 65.882477) + (xy 170.048393 65.88174) + (xy 170.030656 65.880904) + (xy 170.025708 65.88067) + (xy 170.025701 65.88067) + (xy 170.02422 65.8806) + (xy 169.862075 65.8806) + (xy 169.795119 65.886281) + (xy 169.695438 65.894739) + (xy 169.695434 65.89474) + (xy 169.690127 65.89519) + (xy 169.684972 65.896528) + (xy 169.684966 65.896529) + (xy 169.471997 65.951805) + (xy 169.471993 65.951806) + (xy 169.466828 65.953147) + (xy 169.461962 65.955339) + (xy 169.461959 65.95534) + (xy 169.290303 66.032665) + (xy 169.256485 66.047899) + (xy 169.252065 66.050875) + (xy 169.252061 66.050877) + (xy 169.1598 66.112992) + (xy 169.065115 66.176738) + (xy 168.898188 66.335978) + (xy 168.760479 66.521066) + (xy 168.758064 66.525816) + (xy 168.703377 66.633378) + (xy 168.655923 66.726712) + (xy 168.621717 66.836872) + (xy 168.589095 66.94193) + (xy 168.589094 66.941936) + (xy 168.587511 66.947033) + (xy 168.5572 67.175732) + (xy 168.5574 67.181062) + (xy 168.5574 67.181063) + (xy 168.561364 67.286659) + (xy 168.565854 67.406268) + (xy 168.613228 67.63205) + (xy 168.697967 67.846622) + (xy 168.817647 68.043849) + (xy 168.821144 68.047879) + (xy 168.919927 68.161716) + (xy 168.968847 68.218092) + (xy 168.972978 68.221479) + (xy 169.143115 68.360984) + (xy 169.143121 68.360988) + (xy 169.147243 68.364368) + (xy 169.347735 68.478494) + (xy 169.352751 68.480315) + (xy 169.352756 68.480317) + (xy 169.559575 68.555389) + (xy 169.559579 68.55539) + (xy 169.56459 68.557209) + (xy 169.569839 68.558158) + (xy 169.569842 68.558159) + (xy 169.787523 68.597522) + (xy 169.78753 68.597523) + (xy 169.791607 68.59826) + (xy 169.809344 68.599096) + (xy 169.814292 68.59933) + (xy 169.814299 68.59933) + (xy 169.81578 68.5994) + (xy 169.977925 68.5994) + (xy 170.044881 68.593719) + (xy 170.144562 68.585261) + (xy 170.144566 68.58526) + (xy 170.149873 68.58481) + (xy 170.155028 68.583472) + (xy 170.155034 68.583471) + (xy 170.368003 68.528195) + (xy 170.368007 68.528194) + (xy 170.373172 68.526853) + (xy 170.378038 68.524661) + (xy 170.378041 68.52466) + (xy 170.578649 68.434293) + (xy 170.583515 68.432101) + (xy 170.587935 68.429125) + (xy 170.587939 68.429123) + (xy 170.734503 68.330449) + (xy 170.774885 68.303262) + (xy 170.941812 68.144022) + (xy 170.964412 68.113646) + (xy 171.021121 68.070934) + (xy 171.091922 68.065661) + (xy 171.154334 68.099504) + (xy 171.188541 68.161716) + (xy 171.1915 68.18886) + (xy 171.1915 78.534491) + (xy 171.171498 78.602612) + (xy 171.117842 78.649105) + (xy 171.047568 78.659209) + (xy 170.982988 78.629715) + (xy 170.957553 78.59948) + (xy 170.937763 78.566609) + (xy 170.933481 78.560377) + (xy 170.797991 78.386647) + (xy 170.786199 78.378178) + (xy 170.774486 78.384725) + (xy 169.07202 80.08719) + (xy 169.064408 80.101131) + (xy 169.064539 80.102966) + (xy 169.06879 80.10958) + (xy 170.773285 81.814074) + (xy 170.786408 81.82124) + (xy 170.796709 81.813851) + (xy 170.900751 81.686055) + (xy 170.905159 81.679921) + (xy 170.958938 81.594686) + (xy 171.012205 81.547748) + (xy 171.082392 81.537059) + (xy 171.147217 81.566014) + (xy 171.186096 81.625418) + (xy 171.1915 81.661922) + (xy 171.1915 84.581256) + (xy 171.171498 84.649377) + (xy 171.167436 84.655317) + (xy 171.165379 84.658148) + (xy 171.16096 84.663056) + (xy 171.157659 84.668774) + (xy 171.157658 84.668775) + (xy 171.074909 84.812101) + (xy 171.065473 84.828444) + (xy 171.006458 85.010072) + (xy 171.005768 85.016633) + (xy 171.005768 85.016635) + (xy 170.993879 85.129757) + (xy 170.986496 85.2) + (xy 170.987186 85.206565) + (xy 171.004633 85.372561) + (xy 171.006458 85.389928) + (xy 171.00906 85.397936) + (xy 171.046251 85.512399) + (xy 171.048278 85.583367) + (xy 171.011616 85.644165) + (xy 170.99273 85.658473) + (xy 170.891287 85.721248) + (xy 170.886114 85.72643) + (xy 170.886109 85.726434) + (xy 170.833119 85.779517) + (xy 170.770837 85.813597) + (xy 170.743946 85.8165) + (xy 153.806049 85.8165) + (xy 153.737928 85.796498) + (xy 153.717032 85.779674) + (xy 153.662883 85.72562) + (xy 153.657702 85.720448) + (xy 153.649719 85.715527) + (xy 153.518331 85.634538) + (xy 153.518329 85.634537) + (xy 153.512101 85.630698) + (xy 153.349757 85.576851) + (xy 153.34292 85.576151) + (xy 153.342918 85.57615) + (xy 153.298078 85.571556) + (xy 153.248732 85.5665) + (xy 152.651268 85.5665) + (xy 152.648022 85.566837) + (xy 152.648018 85.566837) + (xy 152.613917 85.570375) + (xy 152.548981 85.577113) + (xy 152.54244 85.579295) + (xy 152.542441 85.579295) + (xy 152.393676 85.628927) + (xy 152.393674 85.628928) + (xy 152.386732 85.631244) + (xy 152.282809 85.695554) + (xy 152.214359 85.71439) + (xy 152.190312 85.711655) + (xy 152.101946 85.692873) + (xy 152.101947 85.692873) + (xy 152.095487 85.6915) + (xy 151.904513 85.6915) + (xy 151.898061 85.692872) + (xy 151.898056 85.692872) + (xy 151.811112 85.711353) + (xy 151.717712 85.731206) + (xy 151.711682 85.733891) + (xy 151.711681 85.733891) + (xy 151.549278 85.806197) + (xy 151.549276 85.806198) + (xy 151.543248 85.808882) + (xy 151.537907 85.812762) + (xy 151.537906 85.812763) + (xy 151.462656 85.867436) + (xy 151.395789 85.891294) + (xy 151.388595 85.8915) + (xy 130.211405 85.8915) + (xy 130.143284 85.871498) + (xy 130.137344 85.867436) + (xy 130.062094 85.812763) + (xy 130.062093 85.812762) + (xy 130.056752 85.808882) + (xy 130.050724 85.806198) + (xy 130.050722 85.806197) + (xy 129.888319 85.733891) + (xy 129.888318 85.733891) + (xy 129.882288 85.731206) + (xy 129.788888 85.711353) + (xy 129.701944 85.692872) + (xy 129.701939 85.692872) + (xy 129.695487 85.6915) + (xy 129.504513 85.6915) + (xy 129.498061 85.692872) + (xy 129.498056 85.692872) + (xy 129.411112 85.711353) + (xy 129.317712 85.731206) + (xy 129.311682 85.733891) + (xy 129.311681 85.733891) + (xy 129.149278 85.806197) + (xy 129.149276 85.806198) + (xy 129.143248 85.808882) + (xy 129.137907 85.812762) + (xy 129.137906 85.812763) + (xy 129.062656 85.867436) + (xy 128.995789 85.891294) + (xy 128.988595 85.8915) + (xy 128.887894 85.8915) + (xy 128.819773 85.871498) + (xy 128.77328 85.817842) + (xy 128.763176 85.747568) + (xy 128.79267 85.682988) + (xy 128.834443 85.651399) + (xy 128.849282 85.644447) + (xy 128.982173 85.562212) + (xy 128.993574 85.553176) + (xy 129.103986 85.442571) + (xy 129.112998 85.43116) + (xy 129.195004 85.29812) + (xy 129.201151 85.284939) + (xy 129.250491 85.136186) + (xy 129.253358 85.12281) + (xy 129.262672 85.031903) + (xy 129.263 85.025487) + (xy 129.263 85.002115) + (xy 129.258525 84.986876) + (xy 129.257135 84.985671) + (xy 129.249452 84.984) + (xy 128.177 84.984) + (xy 128.108879 84.963998) + (xy 128.062386 84.910342) + (xy 128.051 84.858) + (xy 128.051 84.457885) + (xy 128.559 84.457885) + (xy 128.563475 84.473124) + (xy 128.564865 84.474329) + (xy 128.572548 84.476) + (xy 129.244885 84.476) + (xy 129.260124 84.471525) + (xy 129.261329 84.470135) + (xy 129.263 84.462452) + (xy 129.263 84.434562) + (xy 129.262663 84.428047) + (xy 129.253106 84.335943) + (xy 129.250212 84.322544) + (xy 129.200619 84.173893) + (xy 129.194445 84.160714) + (xy 129.112212 84.027827) + (xy 129.103176 84.016426) + (xy 128.992571 83.906014) + (xy 128.98116 83.897002) + (xy 128.84812 83.814996) + (xy 128.834939 83.808849) + (xy 128.686186 83.759509) + (xy 128.67281 83.756642) + (xy 128.581903 83.747328) + (xy 128.576874 83.747071) + (xy 128.561876 83.751475) + (xy 128.560671 83.752865) + (xy 128.559 83.760548) + (xy 128.559 84.457885) + (xy 128.051 84.457885) + (xy 128.051 83.765115) + (xy 128.046525 83.749876) + (xy 128.045135 83.748671) + (xy 128.037452 83.747) + (xy 128.034562 83.747) + (xy 128.028047 83.747337) + (xy 127.935943 83.756894) + (xy 127.922544 83.759788) + (xy 127.874145 83.775935) + (xy 127.803195 83.778519) + (xy 127.742112 83.742335) + (xy 127.710287 83.678871) + (xy 127.708959 83.64324) + (xy 127.712814 83.606564) + (xy 127.713504 83.6) + (xy 127.708669 83.554) + (xy 127.694232 83.416635) + (xy 127.694232 83.416633) + (xy 127.693542 83.410072) + (xy 127.634527 83.228444) + (xy 127.616464 83.197157) + (xy 127.542341 83.068774) + (xy 127.53904 83.063056) + (xy 127.523135 83.045391) + (xy 127.415675 82.926045) + (xy 127.415674 82.926044) + (xy 127.411253 82.921134) + (xy 127.304002 82.843211) + (xy 127.262094 82.812763) + (xy 127.262093 82.812762) + (xy 127.256752 82.808882) + (xy 127.250724 82.806198) + (xy 127.250722 82.806197) + (xy 127.088319 82.733891) + (xy 127.088318 82.733891) + (xy 127.082288 82.731206) + (xy 126.988887 82.711353) + (xy 126.901944 82.692872) + (xy 126.901939 82.692872) + (xy 126.895487 82.6915) + (xy 126.704513 82.6915) + (xy 126.698061 82.692872) + (xy 126.698056 82.692872) + (xy 126.611113 82.711353) + (xy 126.517712 82.731206) + (xy 126.511682 82.733891) + (xy 126.511681 82.733891) + (xy 126.349278 82.806197) + (xy 126.349276 82.806198) + (xy 126.343248 82.808882) + (xy 126.337907 82.812762) + (xy 126.337906 82.812763) + (xy 126.295998 82.843211) + (xy 126.188747 82.921134) + (xy 126.184326 82.926044) + (xy 126.184325 82.926045) + (xy 126.076866 83.045391) + (xy 126.06096 83.063056) + (xy 126.057659 83.068774) + (xy 125.983537 83.197157) + (xy 125.965473 83.228444) + (xy 125.906458 83.410072) + (xy 125.905768 83.416633) + (xy 125.905768 83.416635) + (xy 125.891331 83.554) + (xy 125.886496 83.6) + (xy 125.887186 83.606564) + (xy 125.887186 83.606565) + (xy 125.902442 83.751718) + (xy 125.894081 83.797439) + (xy 125.918414 83.827864) + (xy 125.92166 83.836715) + (xy 125.953071 83.933388) + (xy 125.955099 84.004355) + (xy 125.940498 84.038439) + (xy 125.926819 84.060632) + (xy 125.91626 84.077761) + (xy 125.863487 84.125255) + (xy 125.793416 84.136678) + (xy 125.728292 84.108404) + (xy 125.688792 84.049409) + (xy 125.683 84.011645) + (xy 125.683 83.954562) + (xy 125.682663 83.948047) + (xy 125.6765 83.888656) + (xy 125.684891 83.843109) + (xy 125.660609 83.812829) + (xy 125.657608 83.804764) + (xy 125.620619 83.693893) + (xy 125.614445 83.680714) + (xy 125.532212 83.547827) + (xy 125.523176 83.536426) + (xy 125.412571 83.426014) + (xy 125.40116 83.417002) + (xy 125.26812 83.334996) + (xy 125.254939 83.328849) + (xy 125.106186 83.279509) + (xy 125.09281 83.276642) + (xy 125.001903 83.267328) + (xy 124.996874 83.267071) + (xy 124.981876 83.271475) + (xy 124.980671 83.272865) + (xy 124.979 83.280548) + (xy 124.979 84.378) + (xy 124.958998 84.446121) + (xy 124.905342 84.492614) + (xy 124.853 84.504) + (xy 124.597 84.504) + (xy 124.528879 84.483998) + (xy 124.482386 84.430342) + (xy 124.471 84.378) + (xy 124.471 83.285115) + (xy 124.460494 83.249334) + (xy 124.444323 83.224173) + (xy 124.444321 83.153176) + (xy 124.482704 83.093449) + (xy 124.547284 83.063955) + (xy 124.550001 83.063761) + (xy 124.55 83.06375) + (xy 124.589874 83.058501) + (xy 124.589884 83.0585) + (xy 124.589885 83.0585) + (xy 124.689457 83.045391) + (xy 124.700664 83.043916) + (xy 124.700666 83.043915) + (xy 124.708851 83.042838) + (xy 124.856876 82.981524) + (xy 124.868199 82.972836) + (xy 124.952072 82.908477) + (xy 124.952075 82.908474) + (xy 124.962316 82.900616) + (xy 124.983987 82.883987) + (xy 124.989017 82.877432) + (xy 125.003452 82.858621) + (xy 125.014319 82.84623) + (xy 125.346234 82.514315) + (xy 125.358625 82.503448) + (xy 125.377437 82.489013) + (xy 125.383987 82.483987) + (xy 125.408474 82.452075) + (xy 125.408477 82.452072) + (xy 125.472097 82.36916) + (xy 125.481524 82.356875) + (xy 125.51234 82.28248) + (xy 125.539678 82.216479) + (xy 125.542838 82.20885) + (xy 125.545791 82.186423) + (xy 130.978703 82.186423) + (xy 130.986227 82.196854) + (xy 131.125483 82.30902) + (xy 131.131657 82.313408) + (xy 131.402271 82.482178) + (xy 131.408931 82.485794) + (xy 131.697852 82.620827) + (xy 131.704905 82.62362) + (xy 132.00797 82.72297) + (xy 132.015282 82.724888) + (xy 132.328092 82.787109) + (xy 132.33559 82.788137) + (xy 132.65361 82.812328) + (xy 132.661173 82.812446) + (xy 132.979785 82.798257) + (xy 132.987326 82.797465) + (xy 133.301924 82.745101) + (xy 133.309302 82.743411) + (xy 133.615355 82.653625) + (xy 133.62245 82.651071) + (xy 133.915496 82.525169) + (xy 133.922263 82.521765) + (xy 134.198042 82.36158) + (xy 134.204349 82.35739) + (xy 134.414305 82.198889) + (xy 134.422761 82.187496) + (xy 134.416045 82.175256) + (xy 132.71281 80.47202) + (xy 132.698869 80.464408) + (xy 132.697034 80.464539) + (xy 132.69042 80.46879) + (xy 130.985818 82.173393) + (xy 130.978703 82.186423) + (xy 125.545791 82.186423) + (xy 125.546012 82.184745) + (xy 125.5585 82.089885) + (xy 125.5585 82.089878) + (xy 125.562672 82.058188) + (xy 125.56375 82.05) + (xy 125.559578 82.018307) + (xy 125.5585 82.001864) + (xy 125.5585 80.075383) + (xy 129.987388 80.075383) + (xy 130.003245 80.393914) + (xy 130.004076 80.401443) + (xy 130.058085 80.715759) + (xy 130.059818 80.723146) + (xy 130.151196 81.028695) + (xy 130.153799 81.035808) + (xy 130.281227 81.328173) + (xy 130.284669 81.334929) + (xy 130.446296 81.609865) + (xy 130.450519 81.61615) + (xy 130.601463 81.813934) + (xy 130.612989 81.822396) + (xy 130.625054 81.815735) + (xy 132.32798 80.11281) + (xy 132.334357 80.101131) + (xy 133.064408 80.101131) + (xy 133.064539 80.102966) + (xy 133.06879 80.10958) + (xy 134.773285 81.814074) + (xy 134.787229 81.821688) + (xy 134.80651 81.820309) + (xy 134.875884 81.8354) + (xy 134.926087 81.885602) + (xy 134.9415 81.945988) + (xy 134.9415 82.078928) + (xy 134.940145 82.091058) + (xy 134.940627 82.091097) + (xy 134.939907 82.100044) + (xy 134.937926 82.1088) + (xy 134.941258 82.162508) + (xy 134.9415 82.17031) + (xy 134.9415 82.186513) + (xy 134.942136 82.190953) + (xy 134.942984 82.196878) + (xy 134.944013 82.206928) + (xy 134.944396 82.213094) + (xy 134.946945 82.254177) + (xy 134.949994 82.262623) + (xy 134.950593 82.265514) + (xy 134.954822 82.28248) + (xy 134.955648 82.285305) + (xy 134.95692 82.294187) + (xy 134.976522 82.337298) + (xy 134.980327 82.346647) + (xy 134.996404 82.391181) + (xy 135.001699 82.398429) + (xy 135.00308 82.401027) + (xy 135.011915 82.416145) + (xy 135.013494 82.418614) + (xy 135.017208 82.426782) + (xy 135.048115 82.462652) + (xy 135.054401 82.470569) + (xy 135.059548 82.477615) + (xy 135.059553 82.47762) + (xy 135.062425 82.481552) + (xy 135.0734 82.492527) + (xy 135.079758 82.499374) + (xy 135.112287 82.537127) + (xy 135.119822 82.542011) + (xy 135.126066 82.547458) + (xy 135.137931 82.557058) + (xy 135.340177 82.759304) + (xy 135.3478 82.768844) + (xy 135.348168 82.76853) + (xy 135.353986 82.775366) + (xy 135.358776 82.782958) + (xy 135.365504 82.7889) + (xy 135.399125 82.818593) + (xy 135.404812 82.823939) + (xy 135.416255 82.835382) + (xy 135.42302 82.840452) + (xy 135.42463 82.841659) + (xy 135.432459 82.848033) + (xy 135.467951 82.879378) + (xy 135.476074 82.883192) + (xy 135.478562 82.884826) + (xy 135.493523 82.893814) + (xy 135.496108 82.895229) + (xy 135.503295 82.900616) + (xy 135.542147 82.915181) + (xy 135.547642 82.917241) + (xy 135.556958 82.921167) + (xy 135.5998 82.941281) + (xy 135.608669 82.942662) + (xy 135.611502 82.943528) + (xy 135.628389 82.947958) + (xy 135.631274 82.948592) + (xy 135.639684 82.951745) + (xy 135.668842 82.953912) + (xy 135.686906 82.955254) + (xy 135.696952 82.956408) + (xy 135.705575 82.957751) + (xy 135.705578 82.957751) + (xy 135.710386 82.9585) + (xy 135.725906 82.9585) + (xy 135.735243 82.958846) + (xy 135.784941 82.962539) + (xy 135.79372 82.960665) + (xy 135.801978 82.960102) + (xy 135.817161 82.9585) + (xy 165.578928 82.9585) + (xy 165.591058 82.959855) + (xy 165.591097 82.959373) + (xy 165.600044 82.960093) + (xy 165.6088 82.962074) + (xy 165.662508 82.958742) + (xy 165.67031 82.9585) + (xy 165.686513 82.9585) + (xy 165.695429 82.957223) + (xy 165.696878 82.957016) + (xy 165.706928 82.955987) + (xy 165.745216 82.953611) + (xy 165.754177 82.953055) + (xy 165.762623 82.950006) + (xy 165.765514 82.949407) + (xy 165.78248 82.945178) + (xy 165.785305 82.944352) + (xy 165.794187 82.94308) + (xy 165.837298 82.923478) + (xy 165.846649 82.919672) + (xy 165.853356 82.917251) + (xy 165.891181 82.903596) + (xy 165.898429 82.898301) + (xy 165.901027 82.89692) + (xy 165.916145 82.888085) + (xy 165.918614 82.886506) + (xy 165.926782 82.882792) + (xy 165.962653 82.851884) + (xy 165.970569 82.845599) + (xy 165.977615 82.840452) + (xy 165.97762 82.840447) + (xy 165.981552 82.837575) + (xy 165.992527 82.8266) + (xy 165.999375 82.820242) + (xy 166.030323 82.793576) + (xy 166.030324 82.793575) + (xy 166.037127 82.787713) + (xy 166.042011 82.780178) + (xy 166.047458 82.773934) + (xy 166.057058 82.762069) + (xy 166.259304 82.559823) + (xy 166.268844 82.5522) + (xy 166.26853 82.551832) + (xy 166.275366 82.546014) + (xy 166.282958 82.541224) + (xy 166.318593 82.500875) + (xy 166.323939 82.495188) + (xy 166.335382 82.483745) + (xy 166.341659 82.47537) + (xy 166.348033 82.467541) + (xy 166.379378 82.432049) + (xy 166.383192 82.423926) + (xy 166.384826 82.421438) + (xy 166.393814 82.406477) + (xy 166.395229 82.403892) + (xy 166.400616 82.396705) + (xy 166.417241 82.352357) + (xy 166.421168 82.34304) + (xy 166.437466 82.308326) + (xy 166.441281 82.3002) + (xy 166.442662 82.291331) + (xy 166.443528 82.288498) + (xy 166.447958 82.271611) + (xy 166.448592 82.268726) + (xy 166.451745 82.260316) + (xy 166.455254 82.213094) + (xy 166.456408 82.203048) + (xy 166.457751 82.194425) + (xy 166.457751 82.194422) + (xy 166.4585 82.189614) + (xy 166.4585 82.186423) + (xy 166.978703 82.186423) + (xy 166.986227 82.196854) + (xy 167.125483 82.30902) + (xy 167.131657 82.313408) + (xy 167.402271 82.482178) + (xy 167.408931 82.485794) + (xy 167.697852 82.620827) + (xy 167.704905 82.62362) + (xy 168.00797 82.72297) + (xy 168.015282 82.724888) + (xy 168.328092 82.787109) + (xy 168.33559 82.788137) + (xy 168.65361 82.812328) + (xy 168.661173 82.812446) + (xy 168.979785 82.798257) + (xy 168.987326 82.797465) + (xy 169.301924 82.745101) + (xy 169.309302 82.743411) + (xy 169.615355 82.653625) + (xy 169.62245 82.651071) + (xy 169.915496 82.525169) + (xy 169.922263 82.521765) + (xy 170.198042 82.36158) + (xy 170.204349 82.35739) + (xy 170.414305 82.198889) + (xy 170.422761 82.187496) + (xy 170.416045 82.175256) + (xy 168.71281 80.47202) + (xy 168.698869 80.464408) + (xy 168.697034 80.464539) + (xy 168.69042 80.46879) + (xy 166.985818 82.173393) + (xy 166.978703 82.186423) + (xy 166.4585 82.186423) + (xy 166.4585 82.174094) + (xy 166.458846 82.164757) + (xy 166.461874 82.124007) + (xy 166.462539 82.115059) + (xy 166.460665 82.10628) + (xy 166.460102 82.098022) + (xy 166.4585 82.082839) + (xy 166.4585 81.947726) + (xy 166.478502 81.879605) + (xy 166.532158 81.833112) + (xy 166.592323 81.821969) + (xy 166.611592 81.823168) + (xy 166.625054 81.815735) + (xy 168.32798 80.11281) + (xy 168.335592 80.098869) + (xy 168.335461 80.097034) + (xy 168.33121 80.09042) + (xy 166.626445 78.385656) + (xy 166.6125 78.378041) + (xy 166.593488 78.379401) + (xy 166.524114 78.364309) + (xy 166.473912 78.314107) + (xy 166.4585 78.253722) + (xy 166.4585 78.013423) + (xy 166.977917 78.013423) + (xy 166.98452 78.025309) + (xy 168.68719 79.72798) + (xy 168.701131 79.735592) + (xy 168.702966 79.735461) + (xy 168.70958 79.73121) + (xy 170.414559 78.02623) + (xy 170.421571 78.013389) + (xy 170.413777 78.002701) + (xy 170.251298 77.874613) + (xy 170.245075 77.870288) + (xy 169.972702 77.704357) + (xy 169.966025 77.700822) + (xy 169.675686 77.568813) + (xy 169.668616 77.566099) + (xy 169.364537 77.469932) + (xy 169.357186 77.468085) + (xy 169.043746 77.409142) + (xy 169.036237 77.408194) + (xy 168.717989 77.387335) + (xy 168.710424 77.387295) + (xy 168.391964 77.404821) + (xy 168.38445 77.40569) + (xy 168.070405 77.461348) + (xy 168.063044 77.463115) + (xy 167.75798 77.556092) + (xy 167.75086 77.55874) + (xy 167.459182 77.68769) + (xy 167.452445 77.691167) + (xy 167.178355 77.854233) + (xy 167.172091 77.85849) + (xy 166.986385 78.001762) + (xy 166.977917 78.013423) + (xy 166.4585 78.013423) + (xy 166.4585 56.186423) + (xy 166.978703 56.186423) + (xy 166.986227 56.196854) + (xy 167.125483 56.30902) + (xy 167.131657 56.313408) + (xy 167.402271 56.482178) + (xy 167.408931 56.485794) + (xy 167.697852 56.620827) + (xy 167.704905 56.62362) + (xy 168.00797 56.72297) + (xy 168.015282 56.724888) + (xy 168.328092 56.787109) + (xy 168.33559 56.788137) + (xy 168.65361 56.812328) + (xy 168.661173 56.812446) + (xy 168.979785 56.798257) + (xy 168.987326 56.797465) + (xy 169.301924 56.745101) + (xy 169.309302 56.743411) + (xy 169.615355 56.653625) + (xy 169.62245 56.651071) + (xy 169.915496 56.525169) + (xy 169.922263 56.521765) + (xy 170.198042 56.36158) + (xy 170.204349 56.35739) + (xy 170.414305 56.198889) + (xy 170.422761 56.187496) + (xy 170.416045 56.175256) + (xy 168.71281 54.47202) + (xy 168.698869 54.464408) + (xy 168.697034 54.464539) + (xy 168.69042 54.46879) + (xy 166.985818 56.173393) + (xy 166.978703 56.186423) + (xy 166.4585 56.186423) + (xy 166.4585 55.947726) + (xy 166.478502 55.879605) + (xy 166.532158 55.833112) + (xy 166.592323 55.821969) + (xy 166.611592 55.823168) + (xy 166.625054 55.815735) + (xy 168.32798 54.11281) + (xy 168.334357 54.101131) + (xy 169.064408 54.101131) + (xy 169.064539 54.102966) + (xy 169.06879 54.10958) + (xy 170.773285 55.814074) + (xy 170.786408 55.82124) + (xy 170.796709 55.813851) + (xy 170.900751 55.686055) + (xy 170.905164 55.679914) + (xy 171.075349 55.410187) + (xy 171.079005 55.403536) + (xy 171.215544 55.115335) + (xy 171.218375 55.108295) + (xy 171.255698 54.996423) + (xy 189.578703 54.996423) + (xy 189.586227 55.006854) + (xy 189.725483 55.11902) + (xy 189.731657 55.123408) + (xy 190.002271 55.292178) + (xy 190.008931 55.295794) + (xy 190.297852 55.430827) + (xy 190.304905 55.43362) + (xy 190.60797 55.53297) + (xy 190.615282 55.534888) + (xy 190.928092 55.597109) + (xy 190.93559 55.598137) + (xy 191.25361 55.622328) + (xy 191.261173 55.622446) + (xy 191.579785 55.608257) + (xy 191.587326 55.607465) + (xy 191.901924 55.555101) + (xy 191.909302 55.553411) + (xy 192.215355 55.463625) + (xy 192.22245 55.461071) + (xy 192.515496 55.335169) + (xy 192.522263 55.331765) + (xy 192.798042 55.17158) + (xy 192.804349 55.16739) + (xy 193.014305 55.008889) + (xy 193.022761 54.997496) + (xy 193.016045 54.985256) + (xy 191.31281 53.28202) + (xy 191.298869 53.274408) + (xy 191.297034 53.274539) + (xy 191.29042 53.27879) + (xy 189.585818 54.983393) + (xy 189.578703 54.996423) + (xy 171.255698 54.996423) + (xy 171.319306 54.805767) + (xy 171.32127 54.798433) + (xy 171.385122 54.485989) + (xy 171.386194 54.478465) + (xy 171.412173 54.159051) + (xy 171.412378 54.154576) + (xy 171.412927 54.102221) + (xy 171.412817 54.097789) + (xy 171.393529 53.777853) + (xy 171.392621 53.770351) + (xy 171.335319 53.456593) + (xy 171.333518 53.44926) + (xy 171.238935 53.144655) + (xy 171.236263 53.137583) + (xy 171.123184 52.885383) + (xy 188.587388 52.885383) + (xy 188.603245 53.203914) + (xy 188.604076 53.211443) + (xy 188.658085 53.525759) + (xy 188.659818 53.533146) + (xy 188.751196 53.838695) + (xy 188.753799 53.845808) + (xy 188.881227 54.138173) + (xy 188.884669 54.144929) + (xy 189.046296 54.419865) + (xy 189.050519 54.42615) + (xy 189.201463 54.623934) + (xy 189.212989 54.632396) + (xy 189.225054 54.625735) + (xy 190.92798 52.92281) + (xy 190.935592 52.908869) + (xy 190.935461 52.907034) + (xy 190.93121 52.90042) + (xy 189.226445 51.195656) + (xy 189.21351 51.188592) + (xy 189.202949 51.196252) + (xy 189.082766 51.347072) + (xy 189.07841 51.35327) + (xy 188.911059 51.624764) + (xy 188.907479 51.63144) + (xy 188.773956 51.921074) + (xy 188.771206 51.928125) + (xy 188.673444 52.231708) + (xy 188.671561 52.239041) + (xy 188.610979 52.55217) + (xy 188.609992 52.55967) + (xy 188.587467 52.877802) + (xy 188.587388 52.885383) + (xy 171.123184 52.885383) + (xy 171.105781 52.84657) + (xy 171.102264 52.839843) + (xy 170.937771 52.566621) + (xy 170.933481 52.560377) + (xy 170.797991 52.386647) + (xy 170.786199 52.378178) + (xy 170.774486 52.384725) + (xy 169.07202 54.08719) + (xy 169.064408 54.101131) + (xy 168.334357 54.101131) + (xy 168.335592 54.098869) + (xy 168.335461 54.097034) + (xy 168.33121 54.09042) + (xy 166.626445 52.385656) + (xy 166.612501 52.378042) + (xy 166.592797 52.379451) + (xy 166.523423 52.36436) + (xy 166.473221 52.314158) + (xy 166.4585 52.263997) + (xy 166.4585 52.263487) + (xy 166.457016 52.253122) + (xy 166.455987 52.243072) + (xy 166.453611 52.204784) + (xy 166.453055 52.195823) + (xy 166.450006 52.187377) + (xy 166.449407 52.184486) + (xy 166.445178 52.16752) + (xy 166.444352 52.164695) + (xy 166.44308 52.155813) + (xy 166.423478 52.112702) + (xy 166.419672 52.103351) + (xy 166.406644 52.067261) + (xy 166.406643 52.067258) + (xy 166.403596 52.058819) + (xy 166.398302 52.051572) + (xy 166.396919 52.048972) + (xy 166.388102 52.033884) + (xy 166.386508 52.031392) + (xy 166.382792 52.023218) + (xy 166.374352 52.013423) + (xy 166.977917 52.013423) + (xy 166.98452 52.025309) + (xy 168.68719 53.72798) + (xy 168.701131 53.735592) + (xy 168.702966 53.735461) + (xy 168.70958 53.73121) + (xy 170.414559 52.02623) + (xy 170.421571 52.013389) + (xy 170.413777 52.002701) + (xy 170.251298 51.874613) + (xy 170.245075 51.870288) + (xy 169.972702 51.704357) + (xy 169.966025 51.700822) + (xy 169.675686 51.568813) + (xy 169.668616 51.566099) + (xy 169.364537 51.469932) + (xy 169.357186 51.468085) + (xy 169.043746 51.409142) + (xy 169.036237 51.408194) + (xy 168.717989 51.387335) + (xy 168.710424 51.387295) + (xy 168.391964 51.404821) + (xy 168.38445 51.40569) + (xy 168.070405 51.461348) + (xy 168.063044 51.463115) + (xy 167.75798 51.556092) + (xy 167.75086 51.55874) + (xy 167.459182 51.68769) + (xy 167.452445 51.691167) + (xy 167.178355 51.854233) + (xy 167.172091 51.85849) + (xy 166.986385 52.001762) + (xy 166.977917 52.013423) + (xy 166.374352 52.013423) + (xy 166.351886 51.987349) + (xy 166.34561 51.979445) + (xy 166.337575 51.968447) + (xy 166.326589 51.957462) + (xy 166.320245 51.950629) + (xy 166.287713 51.912873) + (xy 166.280178 51.907989) + (xy 166.273937 51.902544) + (xy 166.262075 51.892947) + (xy 166.059821 51.690694) + (xy 166.0522 51.681156) + (xy 166.051832 51.68147) + (xy 166.046014 51.674634) + (xy 166.041224 51.667042) + (xy 166.000875 51.631407) + (xy 165.995189 51.626062) + (xy 165.983745 51.614618) + (xy 165.97537 51.608341) + (xy 165.967541 51.601967) + (xy 165.932049 51.570622) + (xy 165.923926 51.566808) + (xy 165.921438 51.565174) + (xy 165.906477 51.556186) + (xy 165.903892 51.554771) + (xy 165.896705 51.549384) + (xy 165.852357 51.532759) + (xy 165.84304 51.528832) + (xy 165.808326 51.512534) + (xy 165.8002 51.508719) + (xy 165.791331 51.507338) + (xy 165.788498 51.506472) + (xy 165.771611 51.502042) + (xy 165.768726 51.501408) + (xy 165.760316 51.498255) + (xy 165.731158 51.496088) + (xy 165.713094 51.494746) + (xy 165.703048 51.493592) + (xy 165.694425 51.492249) + (xy 165.694422 51.492249) + (xy 165.689614 51.4915) + (xy 165.674094 51.4915) + (xy 165.664757 51.491154) + (xy 165.647854 51.489898) + (xy 165.615059 51.487461) + (xy 165.60628 51.489335) + (xy 165.598022 51.489898) + (xy 165.582839 51.4915) + (xy 135.821073 51.4915) + (xy 135.808944 51.490145) + (xy 135.808905 51.490627) + (xy 135.799954 51.489907) + (xy 135.7912 51.487926) + (xy 135.739168 51.491154) + (xy 135.737492 51.491258) + (xy 135.72969 51.4915) + (xy 135.713487 51.4915) + (xy 135.704571 51.492777) + (xy 135.703122 51.492984) + (xy 135.693072 51.494013) + (xy 135.655215 51.496362) + (xy 135.645823 51.496945) + (xy 135.637377 51.499994) + (xy 135.634486 51.500593) + (xy 135.61752 51.504822) + (xy 135.614695 51.505648) + (xy 135.605813 51.50692) + (xy 135.562702 51.526522) + (xy 135.553351 51.530328) + (xy 135.517261 51.543356) + (xy 135.517258 51.543357) + (xy 135.508819 51.546404) + (xy 135.501572 51.551698) + (xy 135.498972 51.553081) + (xy 135.483884 51.561898) + (xy 135.481392 51.563492) + (xy 135.473218 51.567208) + (xy 135.437349 51.598114) + (xy 135.429445 51.60439) + (xy 135.418447 51.612425) + (xy 135.415002 51.615871) + (xy 135.415 51.615872) + (xy 135.407465 51.623408) + (xy 135.400629 51.629755) + (xy 135.362873 51.662287) + (xy 135.357989 51.669822) + (xy 135.352544 51.676063) + (xy 135.342947 51.687925) + (xy 135.140694 51.890179) + (xy 135.131156 51.8978) + (xy 135.13147 51.898168) + (xy 135.124634 51.903986) + (xy 135.117042 51.908776) + (xy 135.1111 51.915504) + (xy 135.081408 51.949124) + (xy 135.076062 51.954811) + (xy 135.064618 51.966255) + (xy 135.060027 51.972381) + (xy 135.058341 51.97463) + (xy 135.051967 51.982459) + (xy 135.020622 52.017951) + (xy 135.016808 52.026074) + (xy 135.015174 52.028562) + (xy 135.006186 52.043523) + (xy 135.004771 52.046108) + (xy 134.999384 52.053295) + (xy 134.982759 52.097642) + (xy 134.978833 52.106958) + (xy 134.958719 52.1498) + (xy 134.957338 52.158669) + (xy 134.956472 52.161502) + (xy 134.952042 52.178389) + (xy 134.951408 52.181274) + (xy 134.948255 52.189684) + (xy 134.94759 52.198639) + (xy 134.944746 52.236906) + (xy 134.943592 52.246952) + (xy 134.9415 52.260386) + (xy 134.9415 52.263095) + (xy 134.916509 52.328915) + (xy 134.859424 52.371127) + (xy 134.809582 52.378647) + (xy 134.78741 52.377501) + (xy 134.774486 52.384725) + (xy 133.07202 54.08719) + (xy 133.064408 54.101131) + (xy 133.064539 54.102966) + (xy 133.06879 54.10958) + (xy 134.773285 55.814074) + (xy 134.787229 55.821688) + (xy 134.80651 55.820309) + (xy 134.875884 55.8354) + (xy 134.926087 55.885602) + (xy 134.9415 55.945988) + (xy 134.9415 78.252785) + (xy 134.921498 78.320906) + (xy 134.867842 78.367399) + (xy 134.808995 78.378617) + (xy 134.78741 78.377501) + (xy 134.774486 78.384725) + (xy 133.07202 80.08719) + (xy 133.064408 80.101131) + (xy 132.334357 80.101131) + (xy 132.335592 80.098869) + (xy 132.335461 80.097034) + (xy 132.33121 80.09042) + (xy 130.626445 78.385656) + (xy 130.61351 78.378592) + (xy 130.602949 78.386252) + (xy 130.482766 78.537072) + (xy 130.47841 78.54327) + (xy 130.311059 78.814764) + (xy 130.307479 78.82144) + (xy 130.173956 79.111074) + (xy 130.171206 79.118125) + (xy 130.073444 79.421708) + (xy 130.071561 79.429041) + (xy 130.010979 79.74217) + (xy 130.009992 79.74967) + (xy 129.987467 80.067802) + (xy 129.987388 80.075383) + (xy 125.5585 80.075383) + (xy 125.5585 79.954239) + (xy 125.578502 79.886118) + (xy 125.595405 79.865144) + (xy 127.447126 78.013423) + (xy 130.977917 78.013423) + (xy 130.98452 78.025309) + (xy 132.68719 79.72798) + (xy 132.701131 79.735592) + (xy 132.702966 79.735461) + (xy 132.70958 79.73121) + (xy 134.414559 78.02623) + (xy 134.421571 78.013389) + (xy 134.413777 78.002701) + (xy 134.251298 77.874613) + (xy 134.245075 77.870288) + (xy 133.972702 77.704357) + (xy 133.966025 77.700822) + (xy 133.675686 77.568813) + (xy 133.668616 77.566099) + (xy 133.364537 77.469932) + (xy 133.357186 77.468085) + (xy 133.043746 77.409142) + (xy 133.036237 77.408194) + (xy 132.717989 77.387335) + (xy 132.710424 77.387295) + (xy 132.391964 77.404821) + (xy 132.38445 77.40569) + (xy 132.070405 77.461348) + (xy 132.063044 77.463115) + (xy 131.75798 77.556092) + (xy 131.75086 77.55874) + (xy 131.459182 77.68769) + (xy 131.452445 77.691167) + (xy 131.178355 77.854233) + (xy 131.172091 77.85849) + (xy 130.986385 78.001762) + (xy 130.977917 78.013423) + (xy 127.447126 78.013423) + (xy 129.796234 75.664315) + (xy 129.808625 75.653448) + (xy 129.827437 75.639013) + (xy 129.833987 75.633987) + (xy 129.858474 75.602075) + (xy 129.85848 75.602069) + (xy 129.911722 75.532682) + (xy 129.926495 75.51343) + (xy 129.926497 75.513426) + (xy 129.931524 75.506875) + (xy 129.992838 75.35885) + (xy 130.0085 75.239885) + (xy 130.0085 75.239878) + (xy 130.01375 75.2) + (xy 130.009578 75.168307) + (xy 130.0085 75.151864) + (xy 130.0085 75.13029) + (xy 130.028502 75.062169) + (xy 130.040864 75.04598) + (xy 130.134621 74.941852) + (xy 130.134622 74.941851) + (xy 130.13904 74.936944) + (xy 130.234527 74.771556) + (xy 130.293542 74.589928) + (xy 130.299011 74.537899) + (xy 130.312814 74.406565) + (xy 130.313504 74.4) + (xy 130.297668 74.249329) + (xy 130.294232 74.216635) + (xy 130.294232 74.216633) + (xy 130.293542 74.210072) + (xy 130.234527 74.028444) + (xy 130.13904 73.863056) + (xy 130.116905 73.838472) + (xy 130.015675 73.726045) + (xy 130.015674 73.726044) + (xy 130.011253 73.721134) + (xy 129.856752 73.608882) + (xy 129.850724 73.606198) + (xy 129.850722 73.606197) + (xy 129.688319 73.533891) + (xy 129.688318 73.533891) + (xy 129.682288 73.531206) + (xy 129.564024 73.506068) + (xy 129.501944 73.492872) + (xy 129.501939 73.492872) + (xy 129.495487 73.4915) + (xy 129.304513 73.4915) + (xy 129.298061 73.492872) + (xy 129.298056 73.492872) + (xy 129.235976 73.506068) + (xy 129.117712 73.531206) + (xy 129.111682 73.533891) + (xy 129.111681 73.533891) + (xy 128.949278 73.606197) + (xy 128.949276 73.606198) + (xy 128.943248 73.608882) + (xy 128.788747 73.721134) + (xy 128.784326 73.726044) + (xy 128.784325 73.726045) + (xy 128.683096 73.838472) + (xy 128.66096 73.863056) + (xy 128.565473 74.028444) + (xy 128.506458 74.210072) + (xy 128.505768 74.216633) + (xy 128.505768 74.216635) + (xy 128.502332 74.249329) + (xy 128.486496 74.4) + (xy 128.487186 74.406565) + (xy 128.50099 74.537899) + (xy 128.506458 74.589928) + (xy 128.565473 74.771556) + (xy 128.657809 74.931487) + (xy 128.65781 74.931489) + (xy 128.66096 74.936944) + (xy 128.659962 74.93752) + (xy 128.681623 74.998255) + (xy 128.665535 75.067404) + (xy 128.644923 75.094528) + (xy 124.948095 78.791356) + (xy 124.885783 78.825382) + (xy 124.814968 78.820317) + (xy 124.758132 78.77777) + (xy 124.733321 78.71125) + (xy 124.733198 78.707806) + (xy 124.733168 78.707808) + (xy 124.732663 78.698047) + (xy 124.723106 78.605943) + (xy 124.720212 78.592544) + (xy 124.670619 78.443893) + (xy 124.664445 78.430714) + (xy 124.582212 78.297827) + (xy 124.573176 78.286426) + (xy 124.46257 78.176013) + (xy 124.460943 78.174728) + (xy 124.46012 78.173567) + (xy 124.457391 78.170843) + (xy 124.457857 78.170376) + (xy 124.419879 78.116812) + (xy 124.416646 78.045889) + (xy 124.44986 77.986828) + (xy 124.523982 77.912576) + (xy 124.532998 77.90116) + (xy 124.615004 77.76812) + (xy 124.621151 77.754939) + (xy 124.670491 77.606186) + (xy 124.673358 77.59281) + (xy 124.682672 77.501903) + (xy 124.683 77.495487) + (xy 124.683 77.472115) + (xy 124.678525 77.456876) + (xy 124.677135 77.455671) + (xy 124.669452 77.454) + (xy 123.997115 77.454) + (xy 123.981876 77.458475) + (xy 123.980671 77.459865) + (xy 123.979 77.467548) + (xy 123.979 77.958885) + (xy 123.992035 78.00328) + (xy 123.998897 78.013957) + (xy 124.004 78.049452) + (xy 124.004 79.103) + (xy 123.983998 79.171121) + (xy 123.930342 79.217614) + (xy 123.878 79.229) + (xy 123.622 79.229) + (xy 123.553879 79.208998) + (xy 123.507386 79.155342) + (xy 123.496 79.103) + (xy 123.496 78.241115) + (xy 123.482965 78.19672) + (xy 123.476103 78.186043) + (xy 123.471 78.150548) + (xy 123.471 77.072) + (xy 123.491002 77.003879) + (xy 123.544658 76.957386) + (xy 123.597 76.946) + (xy 124.664885 76.946) + (xy 124.680124 76.941525) + (xy 124.681329 76.940135) + (xy 124.683 76.932452) + (xy 124.683 76.904562) + (xy 124.682663 76.898047) + (xy 124.673106 76.805943) + (xy 124.670212 76.792544) + (xy 124.620619 76.643893) + (xy 124.614445 76.630714) + (xy 124.532212 76.497827) + (xy 124.523176 76.486426) + (xy 124.412571 76.376014) + (xy 124.40116 76.367002) + (xy 124.26812 76.284996) + (xy 124.254939 76.278849) + (xy 124.106186 76.229509) + (xy 124.09281 76.226642) + (xy 124.079627 76.225291) + (xy 124.013899 76.19845) + (xy 123.973117 76.140335) + (xy 123.970229 76.069397) + (xy 124.00615 76.008159) + (xy 124.069478 75.976062) + (xy 124.079464 75.97462) + (xy 124.094057 75.973106) + (xy 124.107456 75.970212) + (xy 124.256107 75.920619) + (xy 124.269286 75.914445) + (xy 124.402173 75.832212) + (xy 124.413574 75.823176) + (xy 124.523986 75.712571) + (xy 124.532998 75.70116) + (xy 124.615004 75.56812) + (xy 124.621151 75.554939) + (xy 124.670491 75.406186) + (xy 124.673358 75.39281) + (xy 124.682672 75.301903) + (xy 124.683 75.295487) + (xy 124.683 75.272115) + (xy 124.678525 75.256876) + (xy 124.677135 75.255671) + (xy 124.669452 75.254) + (xy 123.597 75.254) + (xy 123.528879 75.233998) + (xy 123.482386 75.180342) + (xy 123.471 75.128) + (xy 123.471 74.017) + (xy 123.471105 74.017) + (xy 123.46989 73.983) + (xy 123.978895 73.983) + (xy 123.980369 74.024254) + (xy 123.979 74.030547) + (xy 123.979 74.727885) + (xy 123.983475 74.743124) + (xy 123.984865 74.744329) + (xy 123.992548 74.746) + (xy 124.664885 74.746) + (xy 124.680124 74.741525) + (xy 124.681329 74.740135) + (xy 124.683 74.732452) + (xy 124.683 74.704562) + (xy 124.682663 74.698047) + (xy 124.673106 74.605943) + (xy 124.670212 74.592544) + (xy 124.620619 74.443893) + (xy 124.614445 74.430714) + (xy 124.532212 74.297827) + (xy 124.523176 74.286426) + (xy 124.412571 74.176014) + (xy 124.40116 74.167002) + (xy 124.3043 74.107297) + (xy 124.256807 74.054525) + (xy 124.245383 73.984453) + (xy 124.273657 73.919329) + (xy 124.304113 73.892892) + (xy 124.402179 73.832207) + (xy 124.413574 73.823176) + (xy 124.44234 73.794359) + (xy 124.504622 73.760279) + (xy 124.575442 73.765281) + (xy 124.632315 73.807778) + (xy 124.643779 73.826169) + (xy 124.645565 73.829674) + (xy 124.64746 73.834249) + (xy 124.77284 74.038849) + (xy 124.776057 74.042616) + (xy 124.776058 74.042617) + (xy 124.818718 74.092566) + (xy 124.928682 74.221318) + (xy 125.111151 74.37716) + (xy 125.315751 74.50254) + (xy 125.320321 74.504433) + (xy 125.320323 74.504434) + (xy 125.520446 74.587327) + (xy 125.537447 74.594369) + (xy 125.585656 74.605943) + (xy 125.765965 74.649232) + (xy 125.765971 74.649233) + (xy 125.770778 74.650387) + (xy 126.01 74.669214) + (xy 126.249222 74.650387) + (xy 126.254029 74.649233) + (xy 126.254035 74.649232) + (xy 126.434344 74.605943) + (xy 126.482553 74.594369) + (xy 126.499554 74.587327) + (xy 126.699677 74.504434) + (xy 126.699679 74.504433) + (xy 126.704249 74.50254) + (xy 126.908849 74.37716) + (xy 127.091318 74.221318) + (xy 127.201282 74.092566) + (xy 127.243942 74.042617) + (xy 127.243943 74.042616) + (xy 127.24716 74.038849) + (xy 127.37254 73.834249) + (xy 127.374781 73.82884) + (xy 127.462475 73.617126) + (xy 127.462476 73.617124) + (xy 127.464369 73.612553) + (xy 127.513358 73.4085) + (xy 127.519232 73.384035) + (xy 127.519233 73.384029) + (xy 127.520387 73.379222) + (xy 127.539214 73.14) + (xy 127.520387 72.900778) + (xy 127.519233 72.895971) + (xy 127.519232 72.895965) + (xy 127.465524 72.672259) + (xy 127.464369 72.667447) + (xy 127.440293 72.609321) + (xy 127.374434 72.450323) + (xy 127.374433 72.450321) + (xy 127.37254 72.445751) + (xy 127.273606 72.284306) + (xy 130.130524 72.284306) + (xy 130.136251 72.291956) + (xy 130.311759 72.399507) + (xy 130.320553 72.403988) + (xy 130.533029 72.491998) + (xy 130.542414 72.495047) + (xy 130.766044 72.548737) + (xy 130.775791 72.55028) + (xy 131.00507 72.568325) + (xy 131.01493 72.568325) + (xy 131.244209 72.55028) + (xy 131.253956 72.548737) + (xy 131.477586 72.495047) + (xy 131.486971 72.491998) + (xy 131.699447 72.403988) + (xy 131.708241 72.399507) + (xy 131.880083 72.294203) + (xy 131.889543 72.283747) + (xy 131.885759 72.274969) + (xy 131.022812 71.412022) + (xy 131.008868 71.404408) + (xy 131.007035 71.404539) + (xy 131.00042 71.40879) + (xy 130.137284 72.271926) + (xy 130.130524 72.284306) + (xy 127.273606 72.284306) + (xy 127.24716 72.241151) + (xy 127.228804 72.219658) + (xy 127.094531 72.062444) + (xy 127.091318 72.058682) + (xy 126.999276 71.980071) + (xy 126.912617 71.906058) + (xy 126.912616 71.906057) + (xy 126.908849 71.90284) + (xy 126.704249 71.77746) + (xy 126.699679 71.775567) + (xy 126.699677 71.775566) + (xy 126.487126 71.687525) + (xy 126.487124 71.687524) + (xy 126.482553 71.685631) + (xy 126.400963 71.666043) + (xy 126.254035 71.630768) + (xy 126.254029 71.630767) + (xy 126.249222 71.629613) + (xy 126.01 71.610786) + (xy 125.770778 71.629613) + (xy 125.765971 71.630767) + (xy 125.765965 71.630768) + (xy 125.619037 71.666043) + (xy 125.537447 71.685631) + (xy 125.532876 71.687524) + (xy 125.532874 71.687525) + (xy 125.320323 71.775566) + (xy 125.320321 71.775567) + (xy 125.315751 71.77746) + (xy 125.111151 71.90284) + (xy 125.107384 71.906057) + (xy 125.107383 71.906058) + (xy 125.020724 71.980071) + (xy 124.928682 72.058682) + (xy 124.925469 72.062444) + (xy 124.791197 72.219658) + (xy 124.77284 72.241151) + (xy 124.770251 72.245376) + (xy 124.742527 72.290617) + (xy 124.68988 72.338248) + (xy 124.619838 72.349855) + (xy 124.554641 72.321752) + (xy 124.536349 72.303046) + (xy 124.523177 72.286427) + (xy 124.412571 72.176014) + (xy 124.40116 72.167002) + (xy 124.26812 72.084996) + (xy 124.254939 72.078849) + (xy 124.106186 72.029509) + (xy 124.09281 72.026642) + (xy 124.001903 72.017328) + (xy 123.996874 72.017071) + (xy 123.981876 72.021475) + (xy 123.980671 72.022865) + (xy 123.979 72.030548) + (xy 123.979 73.983) + (xy 123.978895 73.983) + (xy 123.46989 73.983) + (xy 123.469631 73.975746) + (xy 123.471 73.969453) + (xy 123.471 72.035115) + (xy 123.466525 72.019876) + (xy 123.465135 72.018671) + (xy 123.457452 72.017) + (xy 123.454562 72.017) + (xy 123.448047 72.017337) + (xy 123.355943 72.026894) + (xy 123.342544 72.029788) + (xy 123.193893 72.079381) + (xy 123.180715 72.085555) + (xy 123.075803 72.150476) + (xy 123.007351 72.169314) + (xy 122.939581 72.148153) + (xy 122.89401 72.093712) + (xy 122.8835 72.043332) + (xy 122.8835 71.04493) + (xy 129.481675 71.04493) + (xy 129.49972 71.274209) + (xy 129.501263 71.283956) + (xy 129.554953 71.507586) + (xy 129.558002 71.516971) + (xy 129.646012 71.729447) + (xy 129.650493 71.738241) + (xy 129.755797 71.910083) + (xy 129.766253 71.919543) + (xy 129.775031 71.915759) + (xy 130.637978 71.052812) + (xy 130.644356 71.041132) + (xy 131.374408 71.041132) + (xy 131.374539 71.042965) + (xy 131.37879 71.04958) + (xy 132.241926 71.912716) + (xy 132.254306 71.919476) + (xy 132.261956 71.913749) + (xy 132.369507 71.738241) + (xy 132.373988 71.729447) + (xy 132.461998 71.516971) + (xy 132.465047 71.507586) + (xy 132.518737 71.283956) + (xy 132.52028 71.274209) + (xy 132.538325 71.04493) + (xy 132.538325 71.03507) + (xy 132.52028 70.805791) + (xy 132.518737 70.796044) + (xy 132.465047 70.572414) + (xy 132.461998 70.563029) + (xy 132.373988 70.350553) + (xy 132.369507 70.341759) + (xy 132.264203 70.169917) + (xy 132.253747 70.160457) + (xy 132.244969 70.164241) + (xy 131.382022 71.027188) + (xy 131.374408 71.041132) + (xy 130.644356 71.041132) + (xy 130.645592 71.038868) + (xy 130.645461 71.037035) + (xy 130.64121 71.03042) + (xy 129.778074 70.167284) + (xy 129.765694 70.160524) + (xy 129.758044 70.166251) + (xy 129.650493 70.341759) + (xy 129.646012 70.350553) + (xy 129.558002 70.563029) + (xy 129.554953 70.572414) + (xy 129.501263 70.796044) + (xy 129.49972 70.805791) + (xy 129.481675 71.03507) + (xy 129.481675 71.04493) + (xy 122.8835 71.04493) + (xy 122.8835 70.793744) + (xy 122.903502 70.725623) + (xy 122.907564 70.719683) + (xy 122.909621 70.716852) + (xy 122.91404 70.711944) + (xy 122.941089 70.665094) + (xy 123.006223 70.552279) + (xy 123.006224 70.552278) + (xy 123.009527 70.546556) + (xy 123.068542 70.364928) + (xy 123.088504 70.175) + (xy 123.068542 69.985072) + (xy 123.009527 69.803444) + (xy 123.005375 69.796253) + (xy 130.130457 69.796253) + (xy 130.134241 69.805031) + (xy 130.997188 70.667978) + (xy 131.011132 70.675592) + (xy 131.012965 70.675461) + (xy 131.01958 70.67121) + (xy 131.882716 69.808074) + (xy 131.889476 69.795694) + (xy 131.883749 69.788044) + (xy 131.708241 69.680493) + (xy 131.699447 69.676012) + (xy 131.486971 69.588002) + (xy 131.477586 69.584953) + (xy 131.253956 69.531263) + (xy 131.244209 69.52972) + (xy 131.01493 69.511675) + (xy 131.00507 69.511675) + (xy 130.775791 69.52972) + (xy 130.766044 69.531263) + (xy 130.542414 69.584953) + (xy 130.533029 69.588002) + (xy 130.320553 69.676012) + (xy 130.311759 69.680493) + (xy 130.139917 69.785797) + (xy 130.130457 69.796253) + (xy 123.005375 69.796253) + (xy 122.999339 69.785797) + (xy 122.917342 69.643775) + (xy 122.917341 69.643774) + (xy 122.91404 69.638056) + (xy 122.909621 69.633148) + (xy 122.907564 69.630317) + (xy 122.883705 69.563449) + (xy 122.8835 69.556256) + (xy 122.8835 67.07066) + (xy 122.903502 67.002539) + (xy 122.920405 66.981565) + (xy 123.835279 66.066691) + (xy 123.897591 66.032665) + (xy 123.968406 66.03773) + (xy 124.025242 66.080277) + (xy 124.050053 66.146797) + (xy 124.038194 66.209832) + (xy 123.938481 66.419828) + (xy 123.937202 66.423811) + (xy 123.937201 66.423814) + (xy 123.849485 66.697017) + (xy 123.848205 66.701004) + (xy 123.842661 66.731815) + (xy 123.797725 66.981565) + (xy 123.79591 66.99165) + (xy 123.795721 66.995817) + (xy 123.79572 66.995824) + (xy 123.787791 67.170447) + (xy 123.782514 67.286659) + (xy 123.782877 67.290807) + (xy 123.782877 67.290811) + (xy 123.784517 67.309553) + (xy 123.808252 67.580849) + (xy 123.809162 67.584921) + (xy 123.809163 67.584926) + (xy 123.867659 67.846622) + (xy 123.872672 67.86905) + (xy 123.974644 68.146199) + (xy 123.976591 68.149892) + (xy 123.976592 68.149894) + (xy 124.012549 68.218092) + (xy 124.112374 68.407427) + (xy 124.114794 68.410832) + (xy 124.281019 68.644735) + (xy 124.281024 68.644741) + (xy 124.283443 68.648145) + (xy 124.286287 68.651195) + (xy 124.286292 68.651201) + (xy 124.421003 68.795661) + (xy 124.484846 68.864124) + (xy 124.713045 69.051568) + (xy 124.964029 69.207185) + (xy 124.967846 69.208901) + (xy 124.967849 69.208902) + (xy 125.031434 69.237478) + (xy 125.23339 69.328241) + (xy 125.516395 69.412608) + (xy 125.520515 69.413261) + (xy 125.520517 69.413261) + (xy 125.804592 69.458255) + (xy 125.804598 69.458256) + (xy 125.808073 69.458806) + (xy 125.832632 69.459921) + (xy 125.899017 69.462936) + (xy 125.899038 69.462936) + (xy 125.900437 69.463) + (xy 126.084901 69.463) + (xy 126.304664 69.448403) + (xy 126.308763 69.447577) + (xy 126.308767 69.447576) + (xy 126.48219 69.412608) + (xy 126.594151 69.390033) + (xy 126.873375 69.293888) + (xy 127.043089 69.208902) + (xy 127.133695 69.16353) + (xy 127.133697 69.163529) + (xy 127.137431 69.161659) + (xy 127.381678 68.995668) + (xy 127.601827 68.798832) + (xy 127.646217 68.747042) + (xy 127.791289 68.577784) + (xy 127.791292 68.57778) + (xy 127.794009 68.57461) + (xy 127.796283 68.571108) + (xy 127.796287 68.571103) + (xy 127.95257 68.330449) + (xy 127.952573 68.330444) + (xy 127.954849 68.326939) + (xy 127.96468 68.306236) + (xy 128.076409 68.070934) + (xy 128.081519 68.060172) + (xy 128.151676 67.841661) + (xy 128.170515 67.782983) + (xy 128.170515 67.782982) + (xy 128.171795 67.778996) + (xy 128.199174 67.626831) + (xy 128.223351 67.492459) + (xy 128.223352 67.492454) + (xy 128.22409 67.48835) + (xy 128.227581 67.411486) + (xy 128.237297 67.197511) + (xy 128.237297 67.197506) + (xy 128.237486 67.193341) + (xy 128.235946 67.175732) + (xy 130.1472 67.175732) + (xy 130.1474 67.181062) + (xy 130.1474 67.181063) + (xy 130.151364 67.286659) + (xy 130.155854 67.406268) + (xy 130.203228 67.63205) + (xy 130.287967 67.846622) + (xy 130.407647 68.043849) + (xy 130.411144 68.047879) + (xy 130.509927 68.161716) + (xy 130.558847 68.218092) + (xy 130.562978 68.221479) + (xy 130.733115 68.360984) + (xy 130.733121 68.360988) + (xy 130.737243 68.364368) + (xy 130.937735 68.478494) + (xy 130.942751 68.480315) + (xy 130.942756 68.480317) + (xy 131.149575 68.555389) + (xy 131.149579 68.55539) + (xy 131.15459 68.557209) + (xy 131.159839 68.558158) + (xy 131.159842 68.558159) + (xy 131.377523 68.597522) + (xy 131.37753 68.597523) + (xy 131.381607 68.59826) + (xy 131.399344 68.599096) + (xy 131.404292 68.59933) + (xy 131.404299 68.59933) + (xy 131.40578 68.5994) + (xy 131.567925 68.5994) + (xy 131.634881 68.593719) + (xy 131.734562 68.585261) + (xy 131.734566 68.58526) + (xy 131.739873 68.58481) + (xy 131.745028 68.583472) + (xy 131.745034 68.583471) + (xy 131.958003 68.528195) + (xy 131.958007 68.528194) + (xy 131.963172 68.526853) + (xy 131.968038 68.524661) + (xy 131.968041 68.52466) + (xy 132.168649 68.434293) + (xy 132.173515 68.432101) + (xy 132.177935 68.429125) + (xy 132.177939 68.429123) + (xy 132.324503 68.330449) + (xy 132.364885 68.303262) + (xy 132.531812 68.144022) + (xy 132.669521 67.958934) + (xy 132.724305 67.851183) + (xy 132.771658 67.758046) + (xy 132.771658 67.758045) + (xy 132.774077 67.753288) + (xy 132.827621 67.580849) + (xy 132.840905 67.53807) + (xy 132.840906 67.538064) + (xy 132.842489 67.532967) + (xy 132.8728 67.304268) + (xy 132.871983 67.282489) + (xy 132.864346 67.079063) + (xy 132.864146 67.073732) + (xy 132.816772 66.84795) + (xy 132.732033 66.633378) + (xy 132.612353 66.436151) + (xy 132.544971 66.3585) + (xy 132.464653 66.265941) + (xy 132.464651 66.265939) + (xy 132.461153 66.261908) + (xy 132.393694 66.206595) + (xy 132.286885 66.119016) + (xy 132.286879 66.119012) + (xy 132.282757 66.115632) + (xy 132.082265 66.001506) + (xy 132.077249 65.999685) + (xy 132.077244 65.999683) + (xy 131.870425 65.924611) + (xy 131.870421 65.92461) + (xy 131.86541 65.922791) + (xy 131.860161 65.921842) + (xy 131.860158 65.921841) + (xy 131.642477 65.882478) + (xy 131.64247 65.882477) + (xy 131.638393 65.88174) + (xy 131.620656 65.880904) + (xy 131.615708 65.88067) + (xy 131.615701 65.88067) + (xy 131.61422 65.8806) + (xy 131.452075 65.8806) + (xy 131.385119 65.886281) + (xy 131.285438 65.894739) + (xy 131.285434 65.89474) + (xy 131.280127 65.89519) + (xy 131.274972 65.896528) + (xy 131.274966 65.896529) + (xy 131.061997 65.951805) + (xy 131.061993 65.951806) + (xy 131.056828 65.953147) + (xy 131.051962 65.955339) + (xy 131.051959 65.95534) + (xy 130.880303 66.032665) + (xy 130.846485 66.047899) + (xy 130.842065 66.050875) + (xy 130.842061 66.050877) + (xy 130.7498 66.112992) + (xy 130.655115 66.176738) + (xy 130.488188 66.335978) + (xy 130.350479 66.521066) + (xy 130.348064 66.525816) + (xy 130.293377 66.633378) + (xy 130.245923 66.726712) + (xy 130.211717 66.836872) + (xy 130.179095 66.94193) + (xy 130.179094 66.941936) + (xy 130.177511 66.947033) + (xy 130.1472 67.175732) + (xy 128.235946 67.175732) + (xy 128.212112 66.903312) + (xy 128.211748 66.899151) + (xy 128.20147 66.853169) + (xy 128.14824 66.615028) + (xy 128.148238 66.615021) + (xy 128.147328 66.61095) + (xy 128.045356 66.333801) + (xy 128.023378 66.292115) + (xy 127.960978 66.173764) + (xy 127.907626 66.072573) + (xy 127.855826 65.999683) + (xy 127.738981 65.835265) + (xy 127.738976 65.835259) + (xy 127.736557 65.831855) + (xy 127.733713 65.828805) + (xy 127.733708 65.828799) + (xy 127.538 65.618928) + (xy 127.535154 65.615876) + (xy 127.306955 65.428432) + (xy 127.055971 65.272815) + (xy 127.049399 65.269861) + (xy 126.93491 65.218408) + (xy 126.78661 65.151759) + (xy 126.503605 65.067392) + (xy 126.499485 65.066739) + (xy 126.499483 65.066739) + (xy 126.215408 65.021745) + (xy 126.215402 65.021744) + (xy 126.211927 65.021194) + (xy 126.187368 65.020079) + (xy 126.120983 65.017064) + (xy 126.120962 65.017064) + (xy 126.119563 65.017) + (xy 125.935099 65.017) + (xy 125.715336 65.031597) + (xy 125.711237 65.032423) + (xy 125.711233 65.032424) + (xy 125.568639 65.061176) + (xy 125.425849 65.089967) + (xy 125.146625 65.186112) + (xy 125.142891 65.187982) + (xy 124.97938 65.269861) + (xy 124.909514 65.282477) + (xy 124.843917 65.255318) + (xy 124.803417 65.197006) + (xy 124.800872 65.126055) + (xy 124.833868 65.068102) + (xy 126.598009 63.303962) + (xy 130.280528 59.621443) + (xy 130.286793 59.615589) + (xy 130.324664 59.582552) + (xy 130.324665 59.582551) + (xy 130.330385 59.577561) + (xy 130.367136 59.525271) + (xy 130.371028 59.520029) + (xy 130.410476 59.469718) + (xy 130.4136 59.462799) + (xy 130.414988 59.460507) + (xy 130.423357 59.445835) + (xy 130.424622 59.443475) + (xy 130.42899 59.437261) + (xy 130.452203 59.377723) + (xy 130.454759 59.371642) + (xy 130.475348 59.326045) + (xy 130.481045 59.313427) + (xy 130.48243 59.305954) + (xy 130.483234 59.303388) + (xy 130.487855 59.287165) + (xy 130.48852 59.284573) + (xy 130.491282 59.277491) + (xy 130.499622 59.214139) + (xy 130.500654 59.207623) + (xy 130.510911 59.152281) + (xy 130.512295 59.144814) + (xy 130.508709 59.08262) + (xy 130.5085 59.075367) + (xy 130.5085 56.186423) + (xy 130.978703 56.186423) + (xy 130.986227 56.196854) + (xy 131.125483 56.30902) + (xy 131.131657 56.313408) + (xy 131.402271 56.482178) + (xy 131.408931 56.485794) + (xy 131.697852 56.620827) + (xy 131.704905 56.62362) + (xy 132.00797 56.72297) + (xy 132.015282 56.724888) + (xy 132.328092 56.787109) + (xy 132.33559 56.788137) + (xy 132.65361 56.812328) + (xy 132.661173 56.812446) + (xy 132.979785 56.798257) + (xy 132.987326 56.797465) + (xy 133.301924 56.745101) + (xy 133.309302 56.743411) + (xy 133.615355 56.653625) + (xy 133.62245 56.651071) + (xy 133.915496 56.525169) + (xy 133.922263 56.521765) + (xy 134.198042 56.36158) + (xy 134.204349 56.35739) + (xy 134.414305 56.198889) + (xy 134.422761 56.187496) + (xy 134.416045 56.175256) + (xy 132.71281 54.47202) + (xy 132.698869 54.464408) + (xy 132.697034 54.464539) + (xy 132.69042 54.46879) + (xy 130.985818 56.173393) + (xy 130.978703 56.186423) + (xy 130.5085 56.186423) + (xy 130.5085 55.950836) + (xy 130.528502 55.882715) + (xy 130.582158 55.836222) + (xy 130.590868 55.834609) + (xy 130.625054 55.815735) + (xy 132.32798 54.11281) + (xy 132.335592 54.098869) + (xy 132.335461 54.097034) + (xy 132.33121 54.09042) + (xy 130.626445 52.385656) + (xy 130.61351 52.378592) + (xy 130.602949 52.386252) + (xy 130.482766 52.537072) + (xy 130.47841 52.54327) + (xy 130.311059 52.814764) + (xy 130.307479 52.82144) + (xy 130.173956 53.111074) + (xy 130.171206 53.118125) + (xy 130.073444 53.421708) + (xy 130.071561 53.429041) + (xy 130.024941 53.670009) + (xy 129.992364 53.73309) + (xy 129.930854 53.768544) + (xy 129.85994 53.765116) + (xy 129.81214 53.73517) + (xy 129.720405 53.643435) + (xy 129.686379 53.581123) + (xy 129.6835 53.55434) + (xy 129.6835 53.351268) + (xy 129.672887 53.248981) + (xy 129.636224 53.139089) + (xy 129.621073 53.093676) + (xy 129.621072 53.093674) + (xy 129.618756 53.086732) + (xy 129.600627 53.057435) + (xy 129.532606 52.947515) + (xy 129.528752 52.941287) + (xy 129.407702 52.820448) + (xy 129.340792 52.779204) + (xy 129.268331 52.734538) + (xy 129.268329 52.734537) + (xy 129.262101 52.730698) + (xy 129.099757 52.676851) + (xy 129.09292 52.676151) + (xy 129.092918 52.67615) + (xy 129.051599 52.671917) + (xy 128.998732 52.6665) + (xy 128.79566 52.6665) + (xy 128.727539 52.646498) + (xy 128.706565 52.629595) + (xy 128.64645 52.56948) + (xy 128.640596 52.563215) + (xy 128.625108 52.545461) + (xy 128.602561 52.519615) + (xy 128.55028 52.482871) + (xy 128.544986 52.478939) + (xy 128.500693 52.444209) + (xy 128.494718 52.439524) + (xy 128.487802 52.436401) + (xy 128.485516 52.435017) + (xy 128.470835 52.426643) + (xy 128.468475 52.425378) + (xy 128.462261 52.42101) + (xy 128.455182 52.41825) + (xy 128.45518 52.418249) + (xy 128.402725 52.397798) + (xy 128.396656 52.395247) + (xy 128.338427 52.368955) + (xy 128.33096 52.367571) + (xy 128.328405 52.36677) + (xy 128.312152 52.362141) + (xy 128.309572 52.361478) + (xy 128.302491 52.358718) + (xy 128.29496 52.357727) + (xy 128.294958 52.357726) + (xy 128.265339 52.353827) + (xy 128.239139 52.350378) + (xy 128.232641 52.349348) + (xy 128.169814 52.337704) + (xy 128.162234 52.338141) + (xy 128.162233 52.338141) + (xy 128.107608 52.341291) + (xy 128.100354 52.3415) + (xy 127.458232 52.3415) + (xy 127.390111 52.321498) + (xy 127.382667 52.316326) + (xy 127.333892 52.279771) + (xy 127.33389 52.27977) + (xy 127.326705 52.274385) + (xy 127.190316 52.223255) + (xy 127.128134 52.2165) + (xy 125.971866 52.2165) + (xy 125.909684 52.223255) + (xy 125.773295 52.274385) + (xy 125.656739 52.361739) + (xy 125.569385 52.478295) + (xy 125.566233 52.486703) + (xy 125.561923 52.494575) + (xy 125.559543 52.493272) + (xy 125.525336 52.538804) + (xy 125.458773 52.563501) + (xy 125.389425 52.548291) + (xy 125.339309 52.498003) + (xy 125.336067 52.490903) + (xy 125.333766 52.486701) + (xy 125.330615 52.478295) + (xy 125.243261 52.361739) + (xy 125.126705 52.274385) + (xy 124.990316 52.223255) + (xy 124.928134 52.2165) + (xy 123.771866 52.2165) + (xy 123.709684 52.223255) + (xy 123.573295 52.274385) + (xy 123.56611 52.27977) + (xy 123.566108 52.279771) + (xy 123.517333 52.316326) + (xy 123.450826 52.341174) + (xy 123.441768 52.3415) + (xy 122.891411 52.3415) + (xy 122.882842 52.341208) + (xy 122.832723 52.337791) + (xy 122.832719 52.337791) + (xy 122.825147 52.337275) + (xy 122.762185 52.348264) + (xy 122.755695 52.349221) + (xy 122.692258 52.356898) + (xy 122.685149 52.359584) + (xy 122.682578 52.360216) + (xy 122.666272 52.364676) + (xy 122.663704 52.365451) + (xy 122.656216 52.366758) + (xy 122.631743 52.377501) + (xy 122.597712 52.392439) + (xy 122.591605 52.394931) + (xy 122.584018 52.397798) + (xy 122.531844 52.417513) + (xy 122.525583 52.421816) + (xy 122.523217 52.423053) + (xy 122.508437 52.43128) + (xy 122.506152 52.432631) + (xy 122.499195 52.435685) + (xy 122.493175 52.440305) + (xy 122.493169 52.440308) + (xy 122.462042 52.464194) + (xy 122.448498 52.474587) + (xy 122.443168 52.478459) + (xy 122.39678 52.510339) + (xy 122.396775 52.510344) + (xy 122.390519 52.514643) + (xy 122.349772 52.560377) + (xy 122.349065 52.56117) + (xy 122.344084 52.566446) + (xy 122.180935 52.729595) + (xy 122.118623 52.763621) + (xy 122.09184 52.7665) + (xy 121.895428 52.7665) + (xy 121.892182 52.766837) + (xy 121.892178 52.766837) + (xy 121.858397 52.770342) + (xy 121.794018 52.777022) + (xy 121.633151 52.830692) + (xy 121.488945 52.919929) + (xy 121.446139 52.96281) + (xy 121.441938 52.967018) + (xy 121.379656 53.001097) + (xy 121.352765 53.004) + (xy 120.916412 53.004) + (xy 120.907842 53.003708) + (xy 120.857724 53.000291) + (xy 120.85772 53.000291) + (xy 120.850148 52.999775) + (xy 120.842671 53.00108) + (xy 120.84267 53.00108) + (xy 120.822639 53.004576) + (xy 120.787197 53.010762) + (xy 120.780679 53.011723) + (xy 120.717258 53.019398) + (xy 120.710157 53.022081) + (xy 120.707548 53.022722) + (xy 120.691238 53.027185) + (xy 120.688702 53.02795) + (xy 120.681216 53.029257) + (xy 120.674259 53.032311) + (xy 120.622705 53.054942) + (xy 120.616601 53.057433) + (xy 120.556844 53.080013) + (xy 120.550581 53.084317) + (xy 120.548215 53.085554) + (xy 120.533403 53.093799) + (xy 120.531149 53.095132) + (xy 120.524195 53.098185) + (xy 120.473498 53.137087) + (xy 120.468168 53.140959) + (xy 120.42178 53.172839) + (xy 120.421775 53.172844) + (xy 120.415519 53.177143) + (xy 120.410468 53.182813) + (xy 120.410466 53.182814) + (xy 120.374065 53.22367) + (xy 120.369084 53.228946) + (xy 119.11948 54.47855) + (xy 119.113215 54.484404) + (xy 119.069615 54.522439) + (xy 119.060046 54.536055) + (xy 119.032872 54.574719) + (xy 119.028939 54.580014) + (xy 118.989524 54.630282) + (xy 118.986401 54.637198) + (xy 118.985017 54.639484) + (xy 118.976643 54.654165) + (xy 118.975378 54.656525) + (xy 118.97101 54.662739) + (xy 118.96825 54.669818) + (xy 118.968249 54.66982) + (xy 118.947798 54.722275) + (xy 118.945247 54.728344) + (xy 118.918955 54.786573) + (xy 118.917571 54.79404) + (xy 118.91677 54.796595) + (xy 118.912141 54.812848) + (xy 118.911478 54.815428) + (xy 118.908718 54.822509) + (xy 118.900785 54.882771) + (xy 118.900379 54.885852) + (xy 118.899348 54.892359) + (xy 118.887704 54.955186) + (xy 118.888141 54.962766) + (xy 118.888141 54.962767) + (xy 118.891291 55.017392) + (xy 118.8915 55.024646) + (xy 118.8915 55.31059) + (xy 118.871498 55.378711) + (xy 118.854595 55.399685) + (xy 118.812185 55.442095) + (xy 118.749873 55.476121) + (xy 118.72309 55.479) + (xy 118.536678 55.479) + (xy 118.468557 55.458998) + (xy 118.422064 55.405342) + (xy 118.41196 55.335068) + (xy 118.4329 55.286308) + (xy 118.430864 55.285053) + (xy 118.503392 55.16739) + (xy 118.519849 55.140692) + (xy 118.554434 55.036423) + (xy 118.571072 54.986262) + (xy 118.571072 54.98626) + (xy 118.573238 54.979731) + (xy 118.5835 54.879572) + (xy 118.5835 54.345428) + (xy 118.572978 54.244018) + (xy 118.519308 54.083151) + (xy 118.430071 53.938945) + (xy 118.310053 53.819136) + (xy 118.165692 53.730151) + (xy 118.158743 53.727846) + (xy 118.011262 53.678928) + (xy 118.01126 53.678928) + (xy 118.004731 53.676762) + (xy 117.904572 53.6665) + (xy 117.295428 53.6665) + (xy 117.292182 53.666837) + (xy 117.292178 53.666837) + (xy 117.258397 53.670342) + (xy 117.194018 53.677022) + (xy 117.033151 53.730692) + (xy 116.888945 53.819929) + (xy 116.883776 53.825107) + (xy 116.841938 53.867018) + (xy 116.779656 53.901097) + (xy 116.752765 53.904) + (xy 116.578872 53.904) + (xy 116.510751 53.883998) + (xy 116.489855 53.867174) + (xy 116.454777 53.832158) + (xy 116.448303 53.825695) + (xy 116.438949 53.819929) + (xy 116.303968 53.736725) + (xy 116.303966 53.736724) + (xy 116.297738 53.732885) + (xy 116.137254 53.679655) + (xy 116.136389 53.679368) + (xy 116.136387 53.679368) + (xy 116.129861 53.677203) + (xy 116.123025 53.676503) + (xy 116.123022 53.676502) + (xy 116.079969 53.672091) + (xy 116.0254 53.6665) + (xy 114.7746 53.6665) + (xy 114.771354 53.666837) + (xy 114.77135 53.666837) + (xy 114.675692 53.676762) + (xy 114.675688 53.676763) + (xy 114.668834 53.677474) + (xy 114.662298 53.679655) + (xy 114.662296 53.679655) + (xy 114.530194 53.723728) + (xy 114.501054 53.73345) + (xy 114.350652 53.826522) + (xy 114.225695 53.951697) + (xy 114.221855 53.957927) + (xy 114.221854 53.957928) + (xy 114.140185 54.09042) + (xy 114.132885 54.102262) + (xy 114.077203 54.270139) + (xy 114.076503 54.276975) + (xy 114.076502 54.276978) + (xy 114.073889 54.302485) + (xy 114.0665 54.3746) + (xy 114.0665 55.5754) + (xy 114.066837 55.578646) + (xy 114.066837 55.57865) + (xy 114.076453 55.671321) + (xy 114.077474 55.681166) + (xy 114.079655 55.687702) + (xy 114.079655 55.687704) + (xy 114.085795 55.706107) + (xy 114.13345 55.848946) + (xy 114.226522 55.999348) + (xy 114.351697 56.124305) + (xy 114.357927 56.128145) + (xy 114.357928 56.128146) + (xy 114.49509 56.212694) + (xy 114.502262 56.217115) + (xy 114.541483 56.230124) + (xy 114.663611 56.270632) + (xy 114.663613 56.270632) + (xy 114.670139 56.272797) + (xy 114.676975 56.273497) + (xy 114.676978 56.273498) + (xy 114.688829 56.274712) + (xy 114.754556 56.301554) + (xy 114.795337 56.359669) + (xy 114.798225 56.430607) + (xy 114.762303 56.491845) + (xy 114.698975 56.523941) + (xy 114.688987 56.525383) + (xy 114.675693 56.526762) + (xy 114.67569 56.526763) + (xy 114.668834 56.527474) + (xy 114.662298 56.529655) + (xy 114.662296 56.529655) + (xy 114.583385 56.555982) + (xy 114.501054 56.58345) + (xy 114.350652 56.676522) + (xy 114.225695 56.801697) + (xy 114.221855 56.807927) + (xy 114.221854 56.807928) + (xy 114.152496 56.920448) + (xy 114.132885 56.952262) + (xy 114.123137 56.981652) + (xy 114.107045 57.030167) + (xy 114.066614 57.088527) + (xy 114.00105 57.115764) + (xy 113.987452 57.1165) + (xy 109.003927 57.1165) + (xy 108.995358 57.116208) + (xy 108.945225 57.11279) + (xy 108.945221 57.11279) + (xy 108.937648 57.112274) + (xy 108.874681 57.123264) + (xy 108.868169 57.124224) + (xy 108.804758 57.131898) + (xy 108.797657 57.134581) + (xy 108.795048 57.135222) + (xy 108.778715 57.139691) + (xy 108.776195 57.140452) + (xy 108.768717 57.141757) + (xy 108.761765 57.144809) + (xy 108.761764 57.144809) + (xy 108.710204 57.167441) + (xy 108.704099 57.169932) + (xy 108.651456 57.189825) + (xy 108.651452 57.189827) + (xy 108.644344 57.192513) + (xy 108.638083 57.196816) + (xy 108.635717 57.198053) + (xy 108.620937 57.20628) + (xy 108.618652 57.207631) + (xy 108.611695 57.210685) + (xy 108.605675 57.215305) + (xy 108.605669 57.215308) + (xy 108.586449 57.230057) + (xy 108.560998 57.249587) + (xy 108.555668 57.253459) + (xy 108.50928 57.285339) + (xy 108.509275 57.285344) + (xy 108.503019 57.289643) + (xy 108.497968 57.295313) + (xy 108.497966 57.295314) + (xy 108.461565 57.33617) + (xy 108.456584 57.341446) + (xy 107.91948 57.87855) + (xy 107.913215 57.884404) + (xy 107.869615 57.922439) + (xy 107.862406 57.932697) + (xy 107.837587 57.96801) + (xy 107.782052 58.012241) + (xy 107.71142 58.019427) + (xy 107.648116 57.987286) + (xy 107.612238 57.926021) + (xy 107.6085 57.895559) + (xy 107.6085 55.036423) + (xy 108.328703 55.036423) + (xy 108.336227 55.046854) + (xy 108.475483 55.15902) + (xy 108.481657 55.163408) + (xy 108.752271 55.332178) + (xy 108.758931 55.335794) + (xy 109.047852 55.470827) + (xy 109.054905 55.47362) + (xy 109.35797 55.57297) + (xy 109.365282 55.574888) + (xy 109.678092 55.637109) + (xy 109.68559 55.638137) + (xy 110.00361 55.662328) + (xy 110.011173 55.662446) + (xy 110.329785 55.648257) + (xy 110.337326 55.647465) + (xy 110.651924 55.595101) + (xy 110.659302 55.593411) + (xy 110.965355 55.503625) + (xy 110.97245 55.501071) + (xy 111.265496 55.375169) + (xy 111.272263 55.371765) + (xy 111.548042 55.21158) + (xy 111.554349 55.20739) + (xy 111.764305 55.048889) + (xy 111.772761 55.037496) + (xy 111.766045 55.025256) + (xy 110.06281 53.32202) + (xy 110.048869 53.314408) + (xy 110.047034 53.314539) + (xy 110.04042 53.31879) + (xy 108.335818 55.023393) + (xy 108.328703 55.036423) + (xy 107.6085 55.036423) + (xy 107.6085 54.587331) + (xy 107.628502 54.51921) + (xy 107.682158 54.472717) + (xy 107.752432 54.462613) + (xy 107.817012 54.492107) + (xy 107.834663 54.510889) + (xy 107.951463 54.663934) + (xy 107.962989 54.672396) + (xy 107.975054 54.665735) + (xy 109.67798 52.96281) + (xy 109.684357 52.951131) + (xy 110.414408 52.951131) + (xy 110.414539 52.952966) + (xy 110.41879 52.95958) + (xy 112.123285 54.664074) + (xy 112.136408 54.67124) + (xy 112.146709 54.663851) + (xy 112.250751 54.536055) + (xy 112.255164 54.529914) + (xy 112.425349 54.260187) + (xy 112.429005 54.253536) + (xy 112.565544 53.965335) + (xy 112.568375 53.958295) + (xy 112.669306 53.655767) + (xy 112.67127 53.648433) + (xy 112.735122 53.335989) + (xy 112.736194 53.328465) + (xy 112.762173 53.009051) + (xy 112.762378 53.004576) + (xy 112.762927 52.952221) + (xy 112.762817 52.947789) + (xy 112.743529 52.627853) + (xy 112.742621 52.620351) + (xy 112.685319 52.306593) + (xy 112.683518 52.29926) + (xy 112.594763 52.013423) + (xy 130.977917 52.013423) + (xy 130.98452 52.025309) + (xy 132.68719 53.72798) + (xy 132.701131 53.735592) + (xy 132.702966 53.735461) + (xy 132.70958 53.73121) + (xy 134.414559 52.02623) + (xy 134.421571 52.013389) + (xy 134.413777 52.002701) + (xy 134.251298 51.874613) + (xy 134.245075 51.870288) + (xy 133.972702 51.704357) + (xy 133.966025 51.700822) + (xy 133.675686 51.568813) + (xy 133.668616 51.566099) + (xy 133.364537 51.469932) + (xy 133.357186 51.468085) + (xy 133.043746 51.409142) + (xy 133.036237 51.408194) + (xy 132.717989 51.387335) + (xy 132.710424 51.387295) + (xy 132.391964 51.404821) + (xy 132.38445 51.40569) + (xy 132.070405 51.461348) + (xy 132.063044 51.463115) + (xy 131.75798 51.556092) + (xy 131.75086 51.55874) + (xy 131.459182 51.68769) + (xy 131.452445 51.691167) + (xy 131.178355 51.854233) + (xy 131.172091 51.85849) + (xy 130.986385 52.001762) + (xy 130.977917 52.013423) + (xy 112.594763 52.013423) + (xy 112.588935 51.994655) + (xy 112.586263 51.987583) + (xy 112.455781 51.69657) + (xy 112.452264 51.689843) + (xy 112.287771 51.416621) + (xy 112.283481 51.410377) + (xy 112.147991 51.236647) + (xy 112.136199 51.228178) + (xy 112.124486 51.234725) + (xy 110.42202 52.93719) + (xy 110.414408 52.951131) + (xy 109.684357 52.951131) + (xy 109.685592 52.948869) + (xy 109.685461 52.947034) + (xy 109.68121 52.94042) + (xy 107.976445 51.235656) + (xy 107.96351 51.228592) + (xy 107.952949 51.236252) + (xy 107.83304 51.386728) + (xy 107.774945 51.427537) + (xy 107.704008 51.43046) + (xy 107.642753 51.394567) + (xy 107.610626 51.331255) + (xy 107.6085 51.308205) + (xy 107.6085 50.862818) + (xy 107.628502 50.794697) + (xy 107.645405 50.773722) + (xy 107.773724 50.645404) + (xy 107.836036 50.611379) + (xy 107.862819 50.6085) + (xy 108.282104 50.6085) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 148.899704 100.028502) + (xy 148.946197 100.082158) + (xy 148.956301 100.152432) + (xy 148.932409 100.210065) + (xy 148.905214 100.246351) + (xy 148.896676 100.261946) + (xy 148.851522 100.382394) + (xy 148.847895 100.397649) + (xy 148.842369 100.448514) + (xy 148.842 100.455328) + (xy 148.842 100.981885) + (xy 148.846475 100.997124) + (xy 148.847865 100.998329) + (xy 148.855548 101) + (xy 149.2155 101) + (xy 149.283621 101.020002) + (xy 149.330114 101.073658) + (xy 149.3415 101.126) + (xy 149.3415 101.174) + (xy 149.321498 101.242121) + (xy 149.267842 101.288614) + (xy 149.2155 101.3) + (xy 148.860116 101.3) + (xy 148.844877 101.304475) + (xy 148.843672 101.305865) + (xy 148.842001 101.313548) + (xy 148.842001 101.61598) + (xy 148.821999 101.684101) + (xy 148.768343 101.730594) + (xy 148.716001 101.74198) + (xy 142.160156 101.74198) + (xy 142.14371 101.740902) + (xy 142.120208 101.737808) + (xy 142.11202 101.73673) + (xy 142.103832 101.737808) + (xy 142.072149 101.741979) + (xy 142.07214 101.74198) + (xy 142.072135 101.74198) + (xy 141.95317 101.757642) + (xy 141.805145 101.818956) + (xy 141.805143 101.818957) + (xy 141.805144 101.818957) + (xy 141.709948 101.892003) + (xy 141.709945 101.892006) + (xy 141.678033 101.916493) + (xy 141.673003 101.923048) + (xy 141.658568 101.941859) + (xy 141.647701 101.95425) + (xy 141.372356 102.229595) + (xy 141.310044 102.263621) + (xy 141.283261 102.2665) + (xy 140.945428 102.2665) + (xy 140.942182 102.266837) + (xy 140.942178 102.266837) + (xy 140.908397 102.270342) + (xy 140.844018 102.277022) + (xy 140.683151 102.330692) + (xy 140.538945 102.419929) + (xy 140.419136 102.539947) + (xy 140.330151 102.684308) + (xy 140.327846 102.691256) + (xy 140.327846 102.691257) + (xy 140.278988 102.838559) + (xy 140.276762 102.845269) + (xy 140.2665 102.945428) + (xy 140.2665 102.96656) + (xy 140.246498 103.034681) + (xy 140.192842 103.081174) + (xy 140.153673 103.091869) + (xy 140.122672 103.095128) + (xy 140.052834 103.082358) + (xy 140.000986 103.033857) + (xy 139.983499 102.969819) + (xy 139.983499 102.918366) + (xy 139.976753 102.844938) + (xy 139.974754 102.838559) + (xy 139.927744 102.68855) + (xy 139.927743 102.688548) + (xy 139.925472 102.681301) + (xy 139.836639 102.534619) + (xy 139.715381 102.413361) + (xy 139.568699 102.324528) + (xy 139.561452 102.322257) + (xy 139.56145 102.322256) + (xy 139.495164 102.301483) + (xy 139.405062 102.273247) + (xy 139.331635 102.2665) + (xy 139.328737 102.2665) + (xy 138.99914 102.266501) + (xy 138.668366 102.266501) + (xy 138.665508 102.266764) + (xy 138.665499 102.266764) + (xy 138.629996 102.270026) + (xy 138.594938 102.273247) + (xy 138.58856 102.275246) + (xy 138.588559 102.275246) + (xy 138.43855 102.322256) + (xy 138.438548 102.322257) + (xy 138.431301 102.324528) + (xy 138.284619 102.413361) + (xy 138.239351 102.458629) + (xy 138.177039 102.492655) + (xy 138.106224 102.48759) + (xy 138.074694 102.470362) + (xy 138.046705 102.449385) + (xy 137.910316 102.398255) + (xy 137.848134 102.3915) + (xy 136.001866 102.3915) + (xy 135.939684 102.398255) + (xy 135.803295 102.449385) + (xy 135.686739 102.536739) + (xy 135.599385 102.653295) + (xy 135.596233 102.661703) + (xy 135.578228 102.70973) + (xy 135.535586 102.766495) + (xy 135.469024 102.791194) + (xy 135.460246 102.7915) + (xy 133.539754 102.7915) + (xy 133.471633 102.771498) + (xy 133.42514 102.717842) + (xy 133.421772 102.70973) + (xy 133.403767 102.661703) + (xy 133.400615 102.653295) + (xy 133.313261 102.536739) + (xy 133.196705 102.449385) + (xy 133.060316 102.398255) + (xy 132.998134 102.3915) + (xy 131.151866 102.3915) + (xy 131.089684 102.398255) + (xy 130.953295 102.449385) + (xy 130.836739 102.536739) + (xy 130.749385 102.653295) + (xy 130.746233 102.661703) + (xy 130.728228 102.70973) + (xy 130.685586 102.766495) + (xy 130.619024 102.791194) + (xy 130.610246 102.7915) + (xy 130.204239 102.7915) + (xy 130.136118 102.771498) + (xy 130.115144 102.754595) + (xy 127.584144 100.223595) + (xy 127.550118 100.161283) + (xy 127.555183 100.090468) + (xy 127.59773 100.033632) + (xy 127.66425 100.008821) + (xy 127.673239 100.0085) + (xy 148.831583 100.0085) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 133.063882 90.228502) + (xy 133.084856 90.245405) + (xy 133.208153 90.368702) + (xy 133.242179 90.431014) + (xy 133.237114 90.501829) + (xy 133.194567 90.558665) + (xy 133.129711 90.583346) + (xy 132.995438 90.594739) + (xy 132.995434 90.59474) + (xy 132.990127 90.59519) + (xy 132.984972 90.596528) + (xy 132.984966 90.596529) + (xy 132.771997 90.651805) + (xy 132.771993 90.651806) + (xy 132.766828 90.653147) + (xy 132.761962 90.655339) + (xy 132.761959 90.65534) + (xy 132.685012 90.690002) + (xy 132.556485 90.747899) + (xy 132.552065 90.750875) + (xy 132.552061 90.750877) + (xy 132.51435 90.776266) + (xy 132.365115 90.876738) + (xy 132.198188 91.035978) + (xy 132.060479 91.221066) + (xy 132.058064 91.225816) + (xy 132.003377 91.333378) + (xy 131.955923 91.426712) + (xy 131.92606 91.522887) + (xy 131.889095 91.64193) + (xy 131.889094 91.641936) + (xy 131.887511 91.647033) + (xy 131.873598 91.752008) + (xy 131.860324 91.852163) + (xy 131.8572 91.875732) + (xy 131.8574 91.881062) + (xy 131.8574 91.881063) + (xy 131.861364 91.986659) + (xy 131.865854 92.106268) + (xy 131.913228 92.33205) + (xy 131.997967 92.546622) + (xy 132.117647 92.743849) + (xy 132.121144 92.747879) + (xy 132.207768 92.847704) + (xy 132.268847 92.918092) + (xy 132.272978 92.921479) + (xy 132.443115 93.060984) + (xy 132.443121 93.060988) + (xy 132.447243 93.064368) + (xy 132.647735 93.178494) + (xy 132.652751 93.180315) + (xy 132.652756 93.180317) + (xy 132.859575 93.255389) + (xy 132.859579 93.25539) + (xy 132.86459 93.257209) + (xy 132.869839 93.258158) + (xy 132.869842 93.258159) + (xy 133.087523 93.297522) + (xy 133.08753 93.297523) + (xy 133.091607 93.29826) + (xy 133.109344 93.299096) + (xy 133.114292 93.29933) + (xy 133.114299 93.29933) + (xy 133.11578 93.2994) + (xy 133.277925 93.2994) + (xy 133.344881 93.293719) + (xy 133.444562 93.285261) + (xy 133.444566 93.28526) + (xy 133.449873 93.28481) + (xy 133.455028 93.283472) + (xy 133.455034 93.283471) + (xy 133.668003 93.228195) + (xy 133.668007 93.228194) + (xy 133.673172 93.226853) + (xy 133.678038 93.224661) + (xy 133.678041 93.22466) + (xy 133.878649 93.134293) + (xy 133.883515 93.132101) + (xy 133.887935 93.129125) + (xy 133.887939 93.129123) + (xy 134.034503 93.030449) + (xy 134.074885 93.003262) + (xy 134.241812 92.844022) + (xy 134.275541 92.798689) + (xy 134.376337 92.663214) + (xy 134.376339 92.663211) + (xy 134.379521 92.658934) + (xy 134.434305 92.551183) + (xy 134.481658 92.458046) + (xy 134.481658 92.458045) + (xy 134.484077 92.453288) + (xy 134.532606 92.297) + (xy 134.550905 92.23807) + (xy 134.550906 92.238064) + (xy 134.552489 92.232967) + (xy 134.579802 92.026892) + (xy 134.60858 91.961991) + (xy 134.667879 91.922951) + (xy 134.738871 91.922168) + (xy 134.793804 91.954353) + (xy 141.075685 98.236234) + (xy 141.086552 98.248625) + (xy 141.106013 98.273987) + (xy 141.112563 98.279013) + (xy 141.137921 98.298471) + (xy 141.137937 98.298485) + (xy 141.162231 98.317126) + (xy 141.233124 98.371524) + (xy 141.381149 98.432838) + (xy 141.389336 98.433916) + (xy 141.389337 98.433916) + (xy 141.400542 98.435391) + (xy 141.431738 98.439498) + (xy 141.500115 98.4485) + (xy 141.500118 98.4485) + (xy 141.500126 98.448501) + (xy 141.531811 98.452672) + (xy 141.54 98.45375) + (xy 141.571693 98.449578) + (xy 141.588136 98.4485) + (xy 149.227751 98.4485) + (xy 149.295872 98.468502) + (xy 149.343309 98.525264) + (xy 149.343316 98.525261) + (xy 149.34333 98.525289) + (xy 149.34416 98.526282) + (xy 149.34746 98.534249) + (xy 149.387549 98.599667) + (xy 149.406086 98.668199) + (xy 149.38463 98.735875) + (xy 149.32999 98.781208) + (xy 149.280115 98.7915) + (xy 129.149885 98.7915) + (xy 129.081764 98.771498) + (xy 129.035271 98.717842) + (xy 129.025167 98.647568) + (xy 129.042451 98.599668) + (xy 129.08254 98.534249) + (xy 129.086252 98.525289) + (xy 129.172475 98.317126) + (xy 129.172476 98.317124) + (xy 129.174369 98.312553) + (xy 129.212555 98.153498) + (xy 129.229232 98.084035) + (xy 129.229233 98.084029) + (xy 129.230387 98.079222) + (xy 129.249214 97.84) + (xy 129.230387 97.600778) + (xy 129.229233 97.595971) + (xy 129.229232 97.595965) + (xy 129.183546 97.405671) + (xy 129.174369 97.367447) + (xy 129.171066 97.359473) + (xy 129.084434 97.150323) + (xy 129.084433 97.150321) + (xy 129.08254 97.145751) + (xy 128.983606 96.984306) + (xy 131.840524 96.984306) + (xy 131.846251 96.991956) + (xy 132.021759 97.099507) + (xy 132.030553 97.103988) + (xy 132.243029 97.191998) + (xy 132.252414 97.195047) + (xy 132.476044 97.248737) + (xy 132.485791 97.25028) + (xy 132.71507 97.268325) + (xy 132.72493 97.268325) + (xy 132.954209 97.25028) + (xy 132.963956 97.248737) + (xy 133.187586 97.195047) + (xy 133.196971 97.191998) + (xy 133.409447 97.103988) + (xy 133.418241 97.099507) + (xy 133.590083 96.994203) + (xy 133.599543 96.983747) + (xy 133.595759 96.974969) + (xy 132.732812 96.112022) + (xy 132.718868 96.104408) + (xy 132.717035 96.104539) + (xy 132.71042 96.10879) + (xy 131.847284 96.971926) + (xy 131.840524 96.984306) + (xy 128.983606 96.984306) + (xy 128.95716 96.941151) + (xy 128.916142 96.893124) + (xy 128.804531 96.762444) + (xy 128.801318 96.758682) + (xy 128.695085 96.667951) + (xy 128.622617 96.606058) + (xy 128.622616 96.606057) + (xy 128.618849 96.60284) + (xy 128.414249 96.47746) + (xy 128.409679 96.475567) + (xy 128.409677 96.475566) + (xy 128.197126 96.387525) + (xy 128.197124 96.387524) + (xy 128.192553 96.385631) + (xy 128.073376 96.357019) + (xy 127.964035 96.330768) + (xy 127.964029 96.330767) + (xy 127.959222 96.329613) + (xy 127.72 96.310786) + (xy 127.480778 96.329613) + (xy 127.475971 96.330767) + (xy 127.475965 96.330768) + (xy 127.366624 96.357019) + (xy 127.247447 96.385631) + (xy 127.242875 96.387525) + (xy 127.242865 96.387528) + (xy 127.239473 96.388933) + (xy 127.168883 96.396519) + (xy 127.102166 96.361617) + (xy 126.485479 95.74493) + (xy 131.191675 95.74493) + (xy 131.20972 95.974209) + (xy 131.211263 95.983956) + (xy 131.264953 96.207586) + (xy 131.268002 96.216971) + (xy 131.356012 96.429447) + (xy 131.360493 96.438241) + (xy 131.465797 96.610083) + (xy 131.476253 96.619543) + (xy 131.485031 96.615759) + (xy 132.347978 95.752812) + (xy 132.354356 95.741132) + (xy 133.084408 95.741132) + (xy 133.084539 95.742965) + (xy 133.08879 95.74958) + (xy 133.951926 96.612716) + (xy 133.964306 96.619476) + (xy 133.971956 96.613749) + (xy 134.079507 96.438241) + (xy 134.083988 96.429447) + (xy 134.171998 96.216971) + (xy 134.175047 96.207586) + (xy 134.228737 95.983956) + (xy 134.23028 95.974209) + (xy 134.248325 95.74493) + (xy 134.248325 95.73507) + (xy 134.23028 95.505791) + (xy 134.228737 95.496044) + (xy 134.175047 95.272414) + (xy 134.171998 95.263029) + (xy 134.083988 95.050553) + (xy 134.079507 95.041759) + (xy 133.974203 94.869917) + (xy 133.963747 94.860457) + (xy 133.954969 94.864241) + (xy 133.092022 95.727188) + (xy 133.084408 95.741132) + (xy 132.354356 95.741132) + (xy 132.355592 95.738868) + (xy 132.355461 95.737035) + (xy 132.35121 95.73042) + (xy 131.488074 94.867284) + (xy 131.475694 94.860524) + (xy 131.468044 94.866251) + (xy 131.360493 95.041759) + (xy 131.356012 95.050553) + (xy 131.268002 95.263029) + (xy 131.264953 95.272414) + (xy 131.211263 95.496044) + (xy 131.20972 95.505791) + (xy 131.191675 95.73507) + (xy 131.191675 95.74493) + (xy 126.485479 95.74493) + (xy 125.945405 95.204856) + (xy 125.911379 95.142544) + (xy 125.9085 95.115761) + (xy 125.9085 94.496253) + (xy 131.840457 94.496253) + (xy 131.844241 94.505031) + (xy 132.707188 95.367978) + (xy 132.721132 95.375592) + (xy 132.722965 95.375461) + (xy 132.72958 95.37121) + (xy 133.592716 94.508074) + (xy 133.599476 94.495694) + (xy 133.593749 94.488044) + (xy 133.418241 94.380493) + (xy 133.409447 94.376012) + (xy 133.196971 94.288002) + (xy 133.187586 94.284953) + (xy 132.963956 94.231263) + (xy 132.954209 94.22972) + (xy 132.72493 94.211675) + (xy 132.71507 94.211675) + (xy 132.485791 94.22972) + (xy 132.476044 94.231263) + (xy 132.252414 94.284953) + (xy 132.243029 94.288002) + (xy 132.030553 94.376012) + (xy 132.021759 94.380493) + (xy 131.849917 94.485797) + (xy 131.840457 94.496253) + (xy 125.9085 94.496253) + (xy 125.9085 93.576926) + (xy 125.928502 93.508805) + (xy 125.982158 93.462312) + (xy 126.052432 93.452208) + (xy 126.117012 93.481702) + (xy 126.12665 93.490994) + (xy 126.191992 93.561064) + (xy 126.191996 93.561068) + (xy 126.194846 93.564124) + (xy 126.423045 93.751568) + (xy 126.674029 93.907185) + (xy 126.94339 94.028241) + (xy 127.01532 94.049684) + (xy 127.215161 94.109259) + (xy 127.226395 94.112608) + (xy 127.230515 94.113261) + (xy 127.230517 94.113261) + (xy 127.514592 94.158255) + (xy 127.514598 94.158256) + (xy 127.518073 94.158806) + (xy 127.542632 94.159921) + (xy 127.609017 94.162936) + (xy 127.609038 94.162936) + (xy 127.610437 94.163) + (xy 127.794901 94.163) + (xy 128.014664 94.148403) + (xy 128.018763 94.147577) + (xy 128.018767 94.147576) + (xy 128.19219 94.112608) + (xy 128.304151 94.090033) + (xy 128.583375 93.993888) + (xy 128.753089 93.908902) + (xy 128.843695 93.86353) + (xy 128.843697 93.863529) + (xy 128.847431 93.861659) + (xy 129.091678 93.695668) + (xy 129.311827 93.498832) + (xy 129.391968 93.40533) + (xy 129.501289 93.277784) + (xy 129.501292 93.27778) + (xy 129.504009 93.27461) + (xy 129.506283 93.271108) + (xy 129.506287 93.271103) + (xy 129.66257 93.030449) + (xy 129.662573 93.030444) + (xy 129.664849 93.026939) + (xy 129.67468 93.006236) + (xy 129.75067 92.846199) + (xy 129.791519 92.760172) + (xy 129.802232 92.726807) + (xy 129.880515 92.482983) + (xy 129.880515 92.482982) + (xy 129.881795 92.478996) + (xy 129.9156 92.291115) + (xy 129.933351 92.192459) + (xy 129.933352 92.192454) + (xy 129.93409 92.18835) + (xy 129.934446 92.180524) + (xy 129.947297 91.897511) + (xy 129.947297 91.897506) + (xy 129.947486 91.893341) + (xy 129.945946 91.875732) + (xy 129.922112 91.603312) + (xy 129.921748 91.599151) + (xy 129.910478 91.548732) + (xy 129.85824 91.315028) + (xy 129.858238 91.315021) + (xy 129.857328 91.31095) + (xy 129.835278 91.251019) + (xy 129.787008 91.119828) + (xy 129.755356 91.033801) + (xy 129.719578 90.965941) + (xy 129.670978 90.873764) + (xy 129.617626 90.772573) + (xy 129.554325 90.683499) + (xy 129.448981 90.535265) + (xy 129.448976 90.535259) + (xy 129.446557 90.531855) + (xy 129.443713 90.528805) + (xy 129.443708 90.528799) + (xy 129.342654 90.420432) + (xy 129.310825 90.35697) + (xy 129.318358 90.286374) + (xy 129.362863 90.231058) + (xy 129.434805 90.2085) + (xy 132.995761 90.2085) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 123.219208 95.50507) + (xy 123.272304 95.552201) + (xy 123.2915 95.61905) + (xy 123.2915 96.615761) + (xy 123.271498 96.683882) + (xy 123.254595 96.704856) + (xy 121.661443 98.298008) + (xy 121.599131 98.332034) + (xy 121.559178 98.334223) + (xy 121.493204 98.327289) + (xy 121.493202 98.327289) + (xy 121.487075 98.326645) + (xy 121.42786 98.332034) + (xy 121.296251 98.344011) + (xy 121.296248 98.344012) + (xy 121.290112 98.34457) + (xy 121.284206 98.346308) + (xy 121.284202 98.346309) + (xy 121.100381 98.40041) + (xy 121.099593 98.397731) + (xy 121.04086 98.403505) + (xy 120.977665 98.371149) + (xy 120.941995 98.309764) + (xy 120.944527 98.24078) + (xy 120.97957 98.132929) + (xy 120.993542 98.089928) + (xy 120.995572 98.070619) + (xy 121.012814 97.906565) + (xy 121.013504 97.9) + (xy 120.998717 97.75931) + (xy 120.994232 97.716635) + (xy 120.994232 97.716633) + (xy 120.993542 97.710072) + (xy 120.934527 97.528444) + (xy 120.83904 97.363056) + (xy 120.778719 97.296062) + (xy 120.715675 97.226045) + (xy 120.715674 97.226044) + (xy 120.711253 97.221134) + (xy 120.65204 97.178113) + (xy 120.55732 97.109294) + (xy 120.513966 97.053071) + (xy 120.507891 96.982335) + (xy 120.542286 96.918263) + (xy 121.915144 95.545405) + (xy 121.977456 95.511379) + (xy 122.004239 95.5085) + (xy 122.951864 95.5085) + (xy 122.968307 95.509578) + (xy 123 95.51375) + (xy 123.008189 95.512672) + (xy 123.039874 95.508501) + (xy 123.039884 95.5085) + (xy 123.039885 95.5085) + (xy 123.065939 95.50507) + (xy 123.139457 95.495391) + (xy 123.145464 95.4946) + (xy 123.14906 95.494127) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 120.626121 91.891002) + (xy 120.672614 91.944658) + (xy 120.684 91.997) + (xy 120.684 93.064885) + (xy 120.688475 93.080124) + (xy 120.689865 93.081329) + (xy 120.697548 93.083) + (xy 120.725438 93.083) + (xy 120.731953 93.082663) + (xy 120.824057 93.073106) + (xy 120.837456 93.070212) + (xy 120.986107 93.020619) + (xy 120.999286 93.014445) + (xy 121.138401 92.928358) + (xy 121.139321 92.929845) + (xy 121.196621 92.906648) + (xy 121.266386 92.919814) + (xy 121.288975 92.934596) + (xy 121.443115 93.060984) + (xy 121.443121 93.060988) + (xy 121.447243 93.064368) + (xy 121.647735 93.178494) + (xy 121.652751 93.180315) + (xy 121.652756 93.180317) + (xy 121.859575 93.255389) + (xy 121.859579 93.25539) + (xy 121.86459 93.257209) + (xy 121.869839 93.258158) + (xy 121.869842 93.258159) + (xy 122.087523 93.297522) + (xy 122.08753 93.297523) + (xy 122.091607 93.29826) + (xy 122.109344 93.299096) + (xy 122.114292 93.29933) + (xy 122.114299 93.29933) + (xy 122.11578 93.2994) + (xy 122.277925 93.2994) + (xy 122.344881 93.293719) + (xy 122.444562 93.285261) + (xy 122.444566 93.28526) + (xy 122.449873 93.28481) + (xy 122.455028 93.283472) + (xy 122.455034 93.283471) + (xy 122.654267 93.23176) + (xy 122.725228 93.234007) + (xy 122.783709 93.274262) + (xy 122.811144 93.339744) + (xy 122.811921 93.353719) + (xy 122.811921 94.1655) + (xy 122.791919 94.233621) + (xy 122.738263 94.280114) + (xy 122.685921 94.2915) + (xy 121.748136 94.2915) + (xy 121.73169 94.290422) + (xy 121.708188 94.287328) + (xy 121.7 94.28625) + (xy 121.691812 94.287328) + (xy 121.660129 94.291499) + (xy 121.66012 94.2915) + (xy 121.660115 94.2915) + (xy 121.621679 94.29656) + (xy 121.549336 94.306084) + (xy 121.549334 94.306085) + (xy 121.54115 94.307162) + (xy 121.502202 94.323295) + (xy 121.393124 94.368476) + (xy 121.297928 94.441523) + (xy 121.297925 94.441526) + (xy 121.266013 94.466013) + (xy 121.260983 94.472568) + (xy 121.246548 94.491379) + (xy 121.235681 94.50377) + (xy 118.248595 97.490856) + (xy 118.186283 97.524882) + (xy 118.115468 97.519817) + (xy 118.058632 97.47727) + (xy 118.033821 97.41075) + (xy 118.0335 97.401761) + (xy 118.0335 96.851268) + (xy 118.031579 96.832748) + (xy 118.024284 96.762444) + (xy 118.022887 96.748981) + (xy 117.995175 96.665918) + (xy 117.971074 96.593679) + (xy 117.971073 96.593677) + (xy 117.968756 96.586732) + (xy 117.965451 96.581391) + (xy 117.954734 96.511641) + (xy 117.983602 96.446778) + (xy 118.014868 96.421304) + (xy 118.016705 96.420615) + (xy 118.133261 96.333261) + (xy 118.220615 96.216705) + (xy 118.271745 96.080316) + (xy 118.2785 96.018134) + (xy 118.2785 94.521866) + (xy 118.271745 94.459684) + (xy 118.220615 94.323295) + (xy 118.162047 94.245148) + (xy 118.137199 94.178642) + (xy 118.152252 94.109259) + (xy 118.162047 94.094018) + (xy 118.214786 94.023648) + (xy 118.223324 94.008054) + (xy 118.268478 93.887606) + (xy 118.272105 93.872351) + (xy 118.277631 93.821486) + (xy 118.278 93.814672) + (xy 118.278 93.473451) + (xy 118.298002 93.40533) + (xy 118.351658 93.358837) + (xy 118.421932 93.348733) + (xy 118.443667 93.353858) + (xy 118.493811 93.37049) + (xy 118.50719 93.373358) + (xy 118.598097 93.382672) + (xy 118.604513 93.383) + (xy 118.627885 93.383) + (xy 118.643124 93.378525) + (xy 118.644329 93.377135) + (xy 118.646 93.369452) + (xy 118.646 92.297) + (xy 118.666002 92.228879) + (xy 118.719658 92.182386) + (xy 118.772 92.171) + (xy 119.028 92.171) + (xy 119.096121 92.191002) + (xy 119.142614 92.244658) + (xy 119.154 92.297) + (xy 119.154 93.364885) + (xy 119.158475 93.380124) + (xy 119.159865 93.381329) + (xy 119.167548 93.383) + (xy 119.195438 93.383) + (xy 119.201953 93.382663) + (xy 119.294057 93.373106) + (xy 119.307456 93.370212) + (xy 119.456107 93.320619) + (xy 119.469286 93.314445) + (xy 119.602173 93.232212) + (xy 119.613574 93.223176) + (xy 119.723986 93.112571) + (xy 119.732998 93.10116) + (xy 119.745749 93.080473) + (xy 119.79852 93.032979) + (xy 119.868591 93.021554) + (xy 119.892678 93.026994) + (xy 120.023814 93.070491) + (xy 120.03719 93.073358) + (xy 120.128097 93.082672) + (xy 120.134513 93.083) + (xy 120.157885 93.083) + (xy 120.173124 93.078525) + (xy 120.174329 93.077135) + (xy 120.176 93.069452) + (xy 120.176 91.997) + (xy 120.196002 91.928879) + (xy 120.249658 91.882386) + (xy 120.302 91.871) + (xy 120.558 91.871) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 117.271121 91.016002) + (xy 117.317614 91.069658) + (xy 117.329 91.122) + (xy 117.329 91.803885) + (xy 117.333475 91.819124) + (xy 117.345203 91.829286) + (xy 117.387097 91.852163) + (xy 117.421121 91.914476) + (xy 117.424 91.941257) + (xy 117.424 93.198) + (xy 117.403998 93.266121) + (xy 117.350342 93.312614) + (xy 117.298 93.324) + (xy 117.042 93.324) + (xy 116.973879 93.303998) + (xy 116.927386 93.250342) + (xy 116.916 93.198) + (xy 116.916 92.291115) + (xy 116.911525 92.275876) + (xy 116.899797 92.265714) + (xy 116.857903 92.242837) + (xy 116.823879 92.180524) + (xy 116.821 92.153743) + (xy 116.821 91.122) + (xy 116.841002 91.053879) + (xy 116.894658 91.007386) + (xy 116.947 90.996) + (xy 117.203 90.996) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 112.958621 84.928502) + (xy 113.005114 84.982158) + (xy 113.0165 85.0345) + (xy 113.0165 85.193392) + (xy 113.027514 85.284407) + (xy 113.027522 85.284473) + (xy 113.024661 85.284819) + (xy 113.024661 85.315181) + (xy 113.027522 85.315527) + (xy 113.0165 85.406608) + (xy 113.0165 85.593392) + (xy 113.024377 85.65848) + (xy 113.027522 85.684473) + (xy 113.024661 85.684819) + (xy 113.024661 85.715181) + (xy 113.027522 85.715527) + (xy 113.0165 85.806608) + (xy 113.0165 85.993392) + (xy 113.027522 86.084473) + (xy 113.083852 86.226747) + (xy 113.176368 86.348632) + (xy 113.298253 86.441148) + (xy 113.440527 86.497478) + (xy 113.448565 86.498451) + (xy 113.448566 86.498451) + (xy 113.473338 86.501449) + (xy 113.531608 86.5085) + (xy 114.0655 86.5085) + (xy 114.133621 86.528502) + (xy 114.180114 86.582158) + (xy 114.1915 86.6345) + (xy 114.1915 87.168392) + (xy 114.202522 87.259473) + (xy 114.258852 87.401747) + (xy 114.351368 87.523632) + (xy 114.473253 87.616148) + (xy 114.486372 87.621342) + (xy 114.545672 87.644821) + (xy 114.601646 87.688496) + (xy 114.625122 87.755499) + (xy 114.608646 87.824557) + (xy 114.588383 87.851068) + (xy 114.003766 88.435685) + (xy 113.991375 88.446552) + (xy 113.966013 88.466013) + (xy 113.941526 88.497925) + (xy 113.941523 88.497928) + (xy 113.941517 88.497936) + (xy 113.920172 88.525753) + (xy 113.862834 88.56762) + (xy 113.791963 88.571842) + (xy 113.73006 88.537078) + (xy 113.713356 88.515819) + (xy 113.637626 88.394624) + (xy 113.595202 88.351903) + (xy 113.514778 88.270915) + (xy 113.514774 88.270912) + (xy 113.509815 88.265918) + (xy 113.498697 88.258862) + (xy 113.382081 88.184856) + (xy 113.356666 88.168727) + (xy 113.29832 88.147951) + (xy 113.192425 88.110243) + (xy 113.19242 88.110242) + (xy 113.18579 88.107881) + (xy 113.178802 88.107048) + (xy 113.178799 88.107047) + (xy 113.055698 88.092368) + (xy 113.00568 88.086404) + (xy 112.998677 88.08714) + (xy 112.998676 88.08714) + (xy 112.832288 88.104628) + (xy 112.832286 88.104629) + (xy 112.825288 88.105364) + (xy 112.653579 88.163818) + (xy 112.591109 88.20225) + (xy 112.505095 88.255166) + (xy 112.505092 88.255168) + (xy 112.499088 88.258862) + (xy 112.494053 88.263793) + (xy 112.49405 88.263795) + (xy 112.374525 88.380843) + (xy 112.369493 88.385771) + (xy 112.271235 88.538238) + (xy 112.268826 88.544858) + (xy 112.268824 88.544861) + (xy 112.227472 88.658474) + (xy 112.209197 88.708685) + (xy 112.195277 88.818876) + (xy 112.194429 88.825586) + (xy 112.166047 88.890663) + (xy 112.106988 88.930064) + (xy 112.103433 88.931008) + (xy 112.099199 88.931453) + (xy 111.981567 88.971498) + (xy 111.930472 88.988892) + (xy 111.85954 88.99191) + (xy 111.81245 88.968571) + (xy 111.809815 88.965918) + (xy 111.656666 88.868727) + (xy 111.650578 88.866559) + (xy 111.598291 88.818876) + (xy 111.580024 88.75027) + (xy 111.601748 88.682679) + (xy 111.616888 88.664372) + (xy 112.507605 87.773655) + (xy 112.516385 87.765665) + (xy 112.516387 87.765663) + (xy 112.52308 87.761416) + (xy 112.528637 87.755499) + (xy 112.571604 87.709743) + (xy 112.574359 87.706901) + (xy 112.594927 87.686333) + (xy 112.597647 87.682826) + (xy 112.605353 87.673804) + (xy 112.606598 87.672478) + (xy 112.636972 87.640133) + (xy 112.641108 87.632609) + (xy 112.647303 87.621342) + (xy 112.658157 87.604818) + (xy 112.666445 87.594132) + (xy 112.671304 87.587868) + (xy 112.681503 87.5643) + (xy 112.689654 87.545465) + (xy 112.694876 87.534805) + (xy 112.713305 87.501284) + (xy 112.713306 87.501282) + (xy 112.717124 87.494337) + (xy 112.722459 87.473559) + (xy 112.728858 87.454869) + (xy 112.73738 87.435176) + (xy 112.744606 87.389552) + (xy 112.747013 87.377929) + (xy 112.756528 87.340868) + (xy 112.7585 87.333188) + (xy 112.7585 87.311741) + (xy 112.760051 87.292031) + (xy 112.762166 87.278677) + (xy 112.763406 87.270848) + (xy 112.759059 87.224859) + (xy 112.7585 87.213004) + (xy 112.7585 85.657059) + (xy 112.759059 85.645203) + (xy 112.760789 85.637463) + (xy 112.758562 85.566611) + (xy 112.7585 85.562653) + (xy 112.7585 85.533568) + (xy 112.757946 85.529179) + (xy 112.757013 85.517337) + (xy 112.756674 85.50653) + (xy 112.755562 85.471169) + (xy 112.74958 85.450579) + (xy 112.74557 85.431216) + (xy 112.743875 85.417796) + (xy 112.743875 85.417795) + (xy 112.742882 85.409936) + (xy 112.739966 85.402571) + (xy 112.739965 85.402567) + (xy 112.725874 85.366979) + (xy 112.722035 85.355769) + (xy 112.709145 85.3114) + (xy 112.698225 85.292935) + (xy 112.689534 85.275195) + (xy 112.681635 85.255244) + (xy 112.654482 85.217871) + (xy 112.647967 85.207952) + (xy 112.628493 85.175023) + (xy 112.62849 85.175019) + (xy 112.624453 85.168193) + (xy 112.609289 85.153029) + (xy 112.596448 85.137995) + (xy 112.588501 85.127057) + (xy 112.583841 85.120643) + (xy 112.581579 85.118772) + (xy 112.55053 85.057404) + (xy 112.557816 84.986782) + (xy 112.602126 84.931311) + (xy 112.674431 84.9085) + (xy 112.8905 84.9085) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 115.910575 63.751709) + (xy 115.960691 63.801997) + (xy 115.963933 63.809097) + (xy 115.966234 63.813299) + (xy 115.969385 63.821705) + (xy 116.056739 63.938261) + (xy 116.173295 64.025615) + (xy 116.309684 64.076745) + (xy 116.371866 64.0835) + (xy 117.528134 64.0835) + (xy 117.590316 64.076745) + (xy 117.685303 64.041136) + (xy 117.756109 64.035953) + (xy 117.773452 64.041021) + (xy 117.897058 64.08699) + (xy 117.89706 64.08699) + (xy 117.903668 64.089448) + (xy 117.972677 64.098656) + (xy 117.982165 64.099922) + (xy 118.047042 64.128758) + (xy 118.08603 64.188091) + (xy 118.0915 64.224815) + (xy 118.0915 68.553) + (xy 118.071498 68.621121) + (xy 118.017842 68.667614) + (xy 117.9655 68.679) + (xy 117.395428 68.679) + (xy 117.392182 68.679337) + (xy 117.392178 68.679337) + (xy 117.358397 68.682842) + (xy 117.294018 68.689522) + (xy 117.133151 68.743192) + (xy 116.988945 68.832429) + (xy 116.869136 68.952447) + (xy 116.780151 69.096808) + (xy 116.777846 69.103756) + (xy 116.777846 69.103757) + (xy 116.736628 69.228023) + (xy 116.696197 69.286383) + (xy 116.630632 69.313619) + (xy 116.560751 69.301085) + (xy 116.508739 69.25276) + (xy 116.494095 69.215338) + (xy 116.493542 69.210072) + (xy 116.47842 69.16353) + (xy 116.454558 69.090094) + (xy 116.434527 69.028444) + (xy 116.33904 68.863056) + (xy 116.311464 68.832429) + (xy 116.215675 68.726045) + (xy 116.215674 68.726044) + (xy 116.211253 68.721134) + (xy 116.078389 68.624602) + (xy 116.062094 68.612763) + (xy 116.062093 68.612762) + (xy 116.056752 68.608882) + (xy 116.050724 68.606198) + (xy 116.050722 68.606197) + (xy 115.888319 68.533891) + (xy 115.888318 68.533891) + (xy 115.882288 68.531206) + (xy 115.788887 68.511353) + (xy 115.701944 68.492872) + (xy 115.701939 68.492872) + (xy 115.695487 68.4915) + (xy 115.504513 68.4915) + (xy 115.498061 68.492872) + (xy 115.498056 68.492872) + (xy 115.411113 68.511353) + (xy 115.317712 68.531206) + (xy 115.311682 68.533891) + (xy 115.311681 68.533891) + (xy 115.149278 68.606197) + (xy 115.149276 68.606198) + (xy 115.143248 68.608882) + (xy 115.137907 68.612762) + (xy 115.137906 68.612763) + (xy 115.121611 68.624602) + (xy 114.988747 68.721134) + (xy 114.984326 68.726044) + (xy 114.984325 68.726045) + (xy 114.888537 68.832429) + (xy 114.86096 68.863056) + (xy 114.765473 69.028444) + (xy 114.706458 69.210072) + (xy 114.705768 69.216633) + (xy 114.705768 69.216635) + (xy 114.701971 69.25276) + (xy 114.686496 69.4) + (xy 114.687186 69.406565) + (xy 114.692694 69.458966) + (xy 114.706458 69.589928) + (xy 114.765473 69.771556) + (xy 114.768776 69.777278) + (xy 114.768777 69.777279) + (xy 114.7848 69.805031) + (xy 114.86096 69.936944) + (xy 114.865379 69.941852) + (xy 114.867436 69.944683) + (xy 114.891295 70.011551) + (xy 114.8915 70.018744) + (xy 114.8915 70.771088) + (xy 114.891208 70.779658) + (xy 114.888525 70.819018) + (xy 114.887275 70.837352) + (xy 114.88858 70.844829) + (xy 114.88858 70.84483) + (xy 114.898261 70.900299) + (xy 114.899223 70.906821) + (xy 114.906898 70.970242) + (xy 114.909581 70.977343) + (xy 114.910222 70.979952) + (xy 114.914685 70.996262) + (xy 114.91545 70.998798) + (xy 114.916757 71.006284) + (xy 114.919811 71.013241) + (xy 114.942442 71.064795) + (xy 114.94493 71.070891) + (xy 114.952183 71.090086) + (xy 114.957553 71.160878) + (xy 114.923796 71.223336) + (xy 114.86163 71.257628) + (xy 114.788549 71.252017) + (xy 114.684574 71.211479) + (xy 114.68457 71.211478) + (xy 114.677491 71.208718) + (xy 114.669958 71.207726) + (xy 114.669957 71.207726) + (xy 114.550793 71.192038) + (xy 114.550792 71.192038) + (xy 114.546706 71.1915) + (xy 113.428912 71.1915) + (xy 113.420342 71.191208) + (xy 113.370224 71.187791) + (xy 113.37022 71.187791) + (xy 113.362648 71.187275) + (xy 113.355171 71.18858) + (xy 113.35517 71.18858) + (xy 113.335357 71.192038) + (xy 113.299697 71.198262) + (xy 113.293179 71.199223) + (xy 113.229758 71.206898) + (xy 113.222657 71.209581) + (xy 113.220048 71.210222) + (xy 113.203738 71.214685) + (xy 113.201202 71.21545) + (xy 113.193716 71.216757) + (xy 113.186759 71.219811) + (xy 113.135205 71.242442) + (xy 113.129101 71.244933) + (xy 113.069344 71.267513) + (xy 113.063081 71.271817) + (xy 113.060715 71.273054) + (xy 113.045903 71.281299) + (xy 113.043649 71.282632) + (xy 113.036695 71.285685) + (xy 112.985998 71.324587) + (xy 112.980668 71.328459) + (xy 112.93428 71.360339) + (xy 112.934275 71.360344) + (xy 112.928019 71.364643) + (xy 112.922968 71.370313) + (xy 112.922966 71.370314) + (xy 112.886565 71.41117) + (xy 112.881584 71.416446) + (xy 112.733467 71.564563) + (xy 112.670569 71.598715) + (xy 112.517712 71.631206) + (xy 112.511682 71.633891) + (xy 112.511681 71.633891) + (xy 112.349278 71.706197) + (xy 112.349276 71.706198) + (xy 112.343248 71.708882) + (xy 112.188747 71.821134) + (xy 112.184326 71.826044) + (xy 112.184325 71.826045) + (xy 112.1002 71.919476) + (xy 112.06096 71.963056) + (xy 112.019357 72.035115) + (xy 111.969889 72.120796) + (xy 111.965473 72.128444) + (xy 111.945942 72.188555) + (xy 111.910209 72.298529) + (xy 111.906458 72.310072) + (xy 111.905768 72.316637) + (xy 111.90155 72.35677) + (xy 111.874537 72.422427) + (xy 111.865335 72.432695) + (xy 111.569977 72.728053) + (xy 111.563712 72.733907) + (xy 111.520112 72.771942) + (xy 111.515745 72.778156) + (xy 111.483369 72.824222) + (xy 111.479436 72.829517) + (xy 111.440021 72.879785) + (xy 111.436898 72.886701) + (xy 111.435514 72.888987) + (xy 111.42714 72.903668) + (xy 111.425875 72.906028) + (xy 111.421507 72.912242) + (xy 111.418747 72.919321) + (xy 111.418746 72.919323) + (xy 111.398295 72.971778) + (xy 111.395744 72.977847) + (xy 111.369452 73.036076) + (xy 111.368068 73.043543) + (xy 111.367267 73.046098) + (xy 111.362638 73.062351) + (xy 111.361975 73.064931) + (xy 111.359215 73.072012) + (xy 111.350914 73.13507) + (xy 111.350876 73.135355) + (xy 111.349845 73.141862) + (xy 111.338201 73.204689) + (xy 111.338638 73.212269) + (xy 111.338638 73.21227) + (xy 111.341788 73.266895) + (xy 111.341997 73.274149) + (xy 111.341997 78.052159) + (xy 111.321995 78.12028) + (xy 111.268339 78.166773) + (xy 111.257422 78.170845) + (xy 111.255671 78.172865) + (xy 111.254 78.180548) + (xy 111.254 79.253) + (xy 111.233998 79.321121) + (xy 111.180342 79.367614) + (xy 111.128 79.379) + (xy 110.035115 79.379) + (xy 110.019876 79.383475) + (xy 110.018671 79.384865) + (xy 110.017 79.392548) + (xy 110.017 79.395438) + (xy 110.017337 79.401953) + (xy 110.026894 79.494057) + (xy 110.029788 79.507456) + (xy 110.079381 79.656107) + (xy 110.085555 79.669286) + (xy 110.167788 79.802173) + (xy 110.181371 79.819311) + (xy 110.179441 79.820841) + (xy 110.207903 79.87288) + (xy 110.202887 79.943699) + (xy 110.179201 79.980617) + (xy 110.180157 79.981372) + (xy 110.175619 79.987118) + (xy 110.170448 79.992298) + (xy 110.166608 79.998528) + (xy 110.166607 79.998529) + (xy 110.104757 80.098869) + (xy 110.080698 80.137899) + (xy 110.026851 80.300243) + (xy 110.0165 80.401268) + (xy 110.0165 80.948732) + (xy 110.027113 81.051019) + (xy 110.029295 81.057559) + (xy 110.073349 81.189603) + (xy 110.081244 81.213268) + (xy 110.085096 81.219492) + (xy 110.085096 81.219493) + (xy 110.136199 81.302075) + (xy 110.171248 81.358713) + (xy 110.292298 81.479552) + (xy 110.29467 81.481014) + (xy 110.334655 81.537412) + (xy 110.3415 81.578376) + (xy 110.3415 83.571621) + (xy 110.321498 83.639742) + (xy 110.295106 83.668884) + (xy 110.291287 83.671248) + (xy 110.286112 83.676432) + (xy 110.280175 83.682379) + (xy 110.170448 83.792298) + (xy 110.166608 83.798528) + (xy 110.166607 83.798529) + (xy 110.139128 83.843109) + (xy 110.080698 83.937899) + (xy 110.026851 84.100243) + (xy 110.0165 84.201268) + (xy 110.0165 84.748732) + (xy 110.027113 84.851019) + (xy 110.029295 84.857559) + (xy 110.073982 84.9915) + (xy 110.081244 85.013268) + (xy 110.085096 85.019492) + (xy 110.085096 85.019493) + (xy 110.157308 85.136186) + (xy 110.171248 85.158713) + (xy 110.17643 85.163886) + (xy 110.180977 85.169623) + (xy 110.17917 85.171055) + (xy 110.207902 85.223575) + (xy 110.202892 85.294395) + (xy 110.179501 85.330853) + (xy 110.180552 85.331683) + (xy 110.167002 85.34884) + (xy 110.084996 85.48188) + (xy 110.078849 85.495061) + (xy 110.029509 85.643814) + (xy 110.026642 85.65719) + (xy 110.017328 85.748097) + (xy 110.017071 85.753126) + (xy 110.021475 85.768124) + (xy 110.022865 85.769329) + (xy 110.030548 85.771) + (xy 111.128 85.771) + (xy 111.196121 85.791002) + (xy 111.242614 85.844658) + (xy 111.254 85.897) + (xy 111.254 86.964885) + (xy 111.260624 86.987444) + (xy 111.291093 87.034854) + (xy 111.291093 87.105851) + (xy 111.259292 87.159448) + (xy 109.951656 88.467084) + (xy 109.889344 88.50111) + (xy 109.818529 88.496045) + (xy 109.761693 88.453498) + (xy 109.736882 88.386978) + (xy 109.737217 88.365147) + (xy 109.742672 88.311903) + (xy 109.742929 88.306874) + (xy 109.738525 88.291876) + (xy 109.737135 88.290671) + (xy 109.729452 88.289) + (xy 108.632 88.289) + (xy 108.563879 88.268998) + (xy 108.517386 88.215342) + (xy 108.506 88.163) + (xy 108.506 87.762885) + (xy 109.014 87.762885) + (xy 109.018475 87.778124) + (xy 109.019865 87.779329) + (xy 109.027548 87.781) + (xy 109.724885 87.781) + (xy 109.740124 87.776525) + (xy 109.741329 87.775135) + (xy 109.743 87.767452) + (xy 109.743 87.764562) + (xy 109.742663 87.758047) + (xy 109.733106 87.665943) + (xy 109.730212 87.652544) + (xy 109.680619 87.503893) + (xy 109.674445 87.490714) + (xy 109.592212 87.357827) + (xy 109.583176 87.346426) + (xy 109.472571 87.236014) + (xy 109.46116 87.227002) + (xy 109.32812 87.144996) + (xy 109.314939 87.138849) + (xy 109.166186 87.089509) + (xy 109.15281 87.086642) + (xy 109.061903 87.077328) + (xy 109.055486 87.077) + (xy 109.032115 87.077) + (xy 109.016876 87.081475) + (xy 109.015671 87.082865) + (xy 109.014 87.090548) + (xy 109.014 87.762885) + (xy 108.506 87.762885) + (xy 108.506 87.095115) + (xy 108.501525 87.079876) + (xy 108.500135 87.078671) + (xy 108.492452 87.077) + (xy 108.464562 87.077) + (xy 108.458047 87.077337) + (xy 108.365943 87.086894) + (xy 108.352544 87.089788) + (xy 108.203893 87.139381) + (xy 108.190714 87.145555) + (xy 108.057827 87.227788) + (xy 108.046426 87.236824) + (xy 107.936014 87.347429) + (xy 107.927002 87.35884) + (xy 107.84176 87.497129) + (xy 107.788988 87.544622) + (xy 107.718916 87.556046) + (xy 107.653793 87.527772) + (xy 107.614293 87.468778) + (xy 107.6085 87.431013) + (xy 107.6085 86.295438) + (xy 110.017 86.295438) + (xy 110.017337 86.301953) + (xy 110.026894 86.394057) + (xy 110.029788 86.407456) + (xy 110.079381 86.556107) + (xy 110.085555 86.569286) + (xy 110.167788 86.702173) + (xy 110.176824 86.713574) + (xy 110.287429 86.823986) + (xy 110.29884 86.832998) + (xy 110.43188 86.915004) + (xy 110.445061 86.921151) + (xy 110.593814 86.970491) + (xy 110.60719 86.973358) + (xy 110.698097 86.982672) + (xy 110.704513 86.983) + (xy 110.727885 86.983) + (xy 110.743124 86.978525) + (xy 110.744329 86.977135) + (xy 110.746 86.969452) + (xy 110.746 86.297115) + (xy 110.741525 86.281876) + (xy 110.740135 86.280671) + (xy 110.732452 86.279) + (xy 110.035115 86.279) + (xy 110.019876 86.283475) + (xy 110.018672 86.284864) + (xy 110.017 86.292548) + (xy 110.017 86.295438) + (xy 107.6085 86.295438) + (xy 107.6085 78.853126) + (xy 110.017071 78.853126) + (xy 110.021475 78.868124) + (xy 110.022865 78.869329) + (xy 110.030548 78.871) + (xy 110.727885 78.871) + (xy 110.743124 78.866525) + (xy 110.744329 78.865135) + (xy 110.746 78.857452) + (xy 110.746 78.185115) + (xy 110.741525 78.169876) + (xy 110.740135 78.168671) + (xy 110.732452 78.167) + (xy 110.704562 78.167) + (xy 110.698047 78.167337) + (xy 110.605943 78.176894) + (xy 110.592544 78.179788) + (xy 110.443893 78.229381) + (xy 110.430714 78.235555) + (xy 110.297827 78.317788) + (xy 110.286426 78.326824) + (xy 110.176014 78.437429) + (xy 110.167002 78.44884) + (xy 110.084996 78.58188) + (xy 110.078849 78.595061) + (xy 110.029509 78.743814) + (xy 110.026642 78.75719) + (xy 110.017328 78.848097) + (xy 110.017071 78.853126) + (xy 107.6085 78.853126) + (xy 107.6085 65.205077) + (xy 107.628502 65.136956) + (xy 107.682158 65.090463) + (xy 107.752432 65.080359) + (xy 107.817012 65.109853) + (xy 107.83834 65.13371) + (xy 107.86034 65.165721) + (xy 107.860343 65.165725) + (xy 107.864643 65.171981) + (xy 107.870313 65.177032) + (xy 107.870314 65.177034) + (xy 107.911161 65.213427) + (xy 107.916438 65.218408) + (xy 108.428565 65.730536) + (xy 108.434418 65.736801) + (xy 108.455868 65.761389) + (xy 108.472439 65.780385) + (xy 108.524729 65.817136) + (xy 108.529971 65.821028) + (xy 108.580282 65.860476) + (xy 108.587201 65.8636) + (xy 108.589493 65.864988) + (xy 108.604165 65.873357) + (xy 108.606525 65.874622) + (xy 108.612739 65.87899) + (xy 108.619818 65.88175) + (xy 108.61982 65.881751) + (xy 108.672275 65.902202) + (xy 108.678344 65.904753) + (xy 108.736573 65.931045) + (xy 108.744043 65.932429) + (xy 108.751295 65.934702) + (xy 108.750533 65.937132) + (xy 108.802969 65.963681) + (xy 108.823831 65.989201) + (xy 108.876522 66.074348) + (xy 109.001697 66.199305) + (xy 109.007927 66.203145) + (xy 109.007928 66.203146) + (xy 109.14509 66.287694) + (xy 109.152262 66.292115) + (xy 109.183304 66.302411) + (xy 109.313611 66.345632) + (xy 109.313613 66.345632) + (xy 109.320139 66.347797) + (xy 109.326975 66.348497) + (xy 109.326978 66.348498) + (xy 109.370031 66.352909) + (xy 109.4246 66.3585) + (xy 110.7754 66.3585) + (xy 110.778646 66.358163) + (xy 110.77865 66.358163) + (xy 110.874308 66.348238) + (xy 110.874312 66.348237) + (xy 110.881166 66.347526) + (xy 110.887702 66.345345) + (xy 110.887704 66.345345) + (xy 111.019806 66.301272) + (xy 111.048946 66.29155) + (xy 111.199348 66.198478) + (xy 111.324305 66.073303) + (xy 111.339964 66.047899) + (xy 111.413275 65.928968) + (xy 111.413276 65.928966) + (xy 111.417115 65.922738) + (xy 111.472797 65.754861) + (xy 111.474648 65.736801) + (xy 111.477909 65.704969) + (xy 111.4835 65.6504) + (xy 111.4835 64.8496) + (xy 111.472526 64.743834) + (xy 111.41655 64.576054) + (xy 111.323478 64.425652) + (xy 111.198303 64.300695) + (xy 111.188878 64.294885) + (xy 111.146764 64.268926) + (xy 111.099271 64.216154) + (xy 111.087847 64.146082) + (xy 111.116121 64.080958) + (xy 111.135045 64.062582) + (xy 111.14292 64.056396) + (xy 111.146852 64.051865) + (xy 111.233581 63.951919) + (xy 111.293334 63.913578) + (xy 111.328746 63.9085) + (xy 113.776587 63.9085) + (xy 113.844708 63.928502) + (xy 113.85662 63.938102) + (xy 113.856739 63.938261) + (xy 113.857852 63.939095) + (xy 113.857858 63.9391) + (xy 113.889176 63.962571) + (xy 113.973295 64.025615) + (xy 114.109684 64.076745) + (xy 114.171866 64.0835) + (xy 115.328134 64.0835) + (xy 115.390316 64.076745) + (xy 115.526705 64.025615) + (xy 115.643261 63.938261) + (xy 115.730615 63.821705) + (xy 115.733767 63.813297) + (xy 115.738077 63.805425) + (xy 115.740457 63.806728) + (xy 115.774664 63.761196) + (xy 115.841227 63.736499) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 116.306608 80.8085) + (xy 116.463705 80.8085) + (xy 116.531826 80.828502) + (xy 116.578319 80.882158) + (xy 116.588627 80.918055) + (xy 116.5915 80.93988) + (xy 116.5915 80.939884) + (xy 116.60032 81.006873) + (xy 116.60032 81.006877) + (xy 116.605938 81.049556) + (xy 116.594998 81.119705) + (xy 116.547869 81.172802) + (xy 116.481016 81.192) + (xy 115.880626 81.192) + (xy 115.875699 81.192193) + (xy 115.847604 81.194404) + (xy 115.835017 81.196703) + (xy 115.690615 81.238656) + (xy 115.676179 81.244903) + (xy 115.54803 81.32069) + (xy 115.535603 81.33033) + (xy 115.43033 81.435603) + (xy 115.42069 81.44803) + (xy 115.344903 81.576179) + (xy 115.338656 81.590615) + (xy 115.296703 81.735017) + (xy 115.294404 81.747604) + (xy 115.292193 81.775699) + (xy 115.292 81.780626) + (xy 115.292 83.027885) + (xy 115.296475 83.043124) + (xy 115.297865 83.044329) + (xy 115.305548 83.046) + (xy 117.528 83.046) + (xy 117.596121 83.066002) + (xy 117.642614 83.119658) + (xy 117.654 83.172) + (xy 117.654 85.233261) + (xy 117.633998 85.301382) + (xy 117.617095 85.322356) + (xy 117.603766 85.335685) + (xy 117.591375 85.346552) + (xy 117.566013 85.366013) + (xy 117.541526 85.397925) + (xy 117.541523 85.397928) + (xy 117.532309 85.409936) + (xy 117.479242 85.479094) + (xy 117.468476 85.493124) + (xy 117.407162 85.641149) + (xy 117.407162 85.64115) + (xy 117.405414 85.654426) + (xy 117.401791 85.681947) + (xy 117.373068 85.746874) + (xy 117.313803 85.785965) + (xy 117.276869 85.7915) + (xy 117.106608 85.7915) + (xy 117.015527 85.802522) + (xy 117.015181 85.799661) + (xy 116.984819 85.799661) + (xy 116.984473 85.802522) + (xy 116.893392 85.7915) + (xy 116.706608 85.7915) + (xy 116.615527 85.802522) + (xy 116.61533 85.800897) + (xy 116.583653 85.802928) + (xy 116.497115 85.792456) + (xy 116.489561 85.792) + (xy 116.310447 85.792001) + (xy 116.302891 85.792456) + (xy 116.216345 85.802929) + (xy 116.18467 85.800893) + (xy 116.184473 85.802522) + (xy 116.093392 85.7915) + (xy 115.906608 85.7915) + (xy 115.815527 85.802522) + (xy 115.815181 85.799661) + (xy 115.784819 85.799661) + (xy 115.784473 85.802522) + (xy 115.693392 85.7915) + (xy 115.506608 85.7915) + (xy 115.415527 85.802522) + (xy 115.415181 85.799661) + (xy 115.384819 85.799661) + (xy 115.384473 85.802522) + (xy 115.293392 85.7915) + (xy 115.106608 85.7915) + (xy 115.065307 85.796498) + (xy 115.040755 85.799469) + (xy 114.970725 85.787796) + (xy 114.918123 85.740114) + (xy 114.89965 85.671563) + (xy 114.900531 85.659245) + (xy 114.908044 85.597158) + (xy 114.908044 85.597157) + (xy 114.9085 85.593392) + (xy 114.9085 85.406608) + (xy 114.897478 85.315527) + (xy 114.900339 85.315181) + (xy 114.900339 85.284819) + (xy 114.897478 85.284473) + (xy 114.897486 85.284407) + (xy 114.9085 85.193392) + (xy 114.9085 85.006608) + (xy 114.897478 84.915527) + (xy 114.900339 84.915181) + (xy 114.900339 84.884819) + (xy 114.897478 84.884473) + (xy 114.905356 84.819375) + (xy 115.292 84.819375) + (xy 115.292193 84.824301) + (xy 115.294404 84.852396) + (xy 115.296703 84.864983) + (xy 115.338656 85.009385) + (xy 115.344903 85.023821) + (xy 115.42069 85.15197) + (xy 115.43033 85.164397) + (xy 115.535603 85.26967) + (xy 115.54803 85.27931) + (xy 115.676179 85.355097) + (xy 115.690615 85.361344) + (xy 115.835017 85.403297) + (xy 115.847604 85.405596) + (xy 115.875699 85.407807) + (xy 115.880625 85.408) + (xy 117.127885 85.408) + (xy 117.143124 85.403525) + (xy 117.144329 85.402135) + (xy 117.146 85.394452) + (xy 117.146 83.572115) + (xy 117.141525 83.556876) + (xy 117.140135 83.555671) + (xy 117.132452 83.554) + (xy 115.310115 83.554) + (xy 115.294876 83.558475) + (xy 115.293671 83.559865) + (xy 115.292 83.567548) + (xy 115.292 84.819375) + (xy 114.905356 84.819375) + (xy 114.9085 84.793392) + (xy 114.9085 84.606608) + (xy 114.897478 84.515527) + (xy 114.900339 84.515181) + (xy 114.900339 84.484819) + (xy 114.897478 84.484473) + (xy 114.903518 84.434562) + (xy 114.9085 84.393392) + (xy 114.9085 84.206608) + (xy 114.897478 84.115527) + (xy 114.900339 84.115181) + (xy 114.900339 84.084819) + (xy 114.897478 84.084473) + (xy 114.901721 84.049409) + (xy 114.9085 83.993392) + (xy 114.9085 83.806608) + (xy 114.897478 83.715527) + (xy 114.900339 83.715181) + (xy 114.900339 83.684819) + (xy 114.897478 83.684473) + (xy 114.902468 83.64324) + (xy 114.9085 83.593392) + (xy 114.9085 83.406608) + (xy 114.897478 83.315527) + (xy 114.900339 83.315181) + (xy 114.900339 83.284819) + (xy 114.897478 83.284473) + (xy 114.90173 83.249334) + (xy 114.9085 83.193392) + (xy 114.9085 83.006608) + (xy 114.897478 82.915527) + (xy 114.900339 82.915181) + (xy 114.900339 82.884819) + (xy 114.897478 82.884473) + (xy 114.901399 82.852074) + (xy 114.9085 82.793392) + (xy 114.9085 82.606608) + (xy 114.897478 82.515527) + (xy 114.900339 82.515181) + (xy 114.900339 82.484819) + (xy 114.897478 82.484473) + (xy 114.900119 82.462652) + (xy 114.9085 82.393392) + (xy 114.9085 82.206608) + (xy 114.897478 82.115527) + (xy 114.900339 82.115181) + (xy 114.900339 82.084819) + (xy 114.897478 82.084473) + (xy 114.901901 82.047926) + (xy 114.9085 81.993392) + (xy 114.9085 81.806608) + (xy 114.897478 81.715527) + (xy 114.900339 81.715181) + (xy 114.900339 81.684819) + (xy 114.897478 81.684473) + (xy 114.900207 81.661922) + (xy 114.9085 81.593392) + (xy 114.9085 81.406608) + (xy 114.897478 81.315527) + (xy 114.900339 81.315181) + (xy 114.900339 81.284819) + (xy 114.897478 81.284473) + (xy 114.905341 81.219493) + (xy 114.9085 81.193392) + (xy 114.9085 81.006608) + (xy 114.900531 80.940755) + (xy 114.912204 80.870725) + (xy 114.959886 80.818123) + (xy 115.028437 80.79965) + (xy 115.040755 80.800531) + (xy 115.055162 80.802274) + (xy 115.106608 80.8085) + (xy 115.293392 80.8085) + (xy 115.384473 80.797478) + (xy 115.384819 80.800339) + (xy 115.415181 80.800339) + (xy 115.415527 80.797478) + (xy 115.506608 80.8085) + (xy 115.693392 80.8085) + (xy 115.784473 80.797478) + (xy 115.784819 80.800339) + (xy 115.815181 80.800339) + (xy 115.815527 80.797478) + (xy 115.906608 80.8085) + (xy 116.093392 80.8085) + (xy 116.184473 80.797478) + (xy 116.184819 80.800339) + (xy 116.215181 80.800339) + (xy 116.215527 80.797478) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 114.183621 72.628502) + (xy 114.230114 72.682158) + (xy 114.2415 72.7345) + (xy 114.2415 74.492944) + (xy 114.240941 74.5048) + (xy 114.239212 74.512537) + (xy 114.2407 74.559886) + (xy 114.241438 74.583369) + (xy 114.2415 74.587327) + (xy 114.2415 74.616432) + (xy 114.241996 74.620355) + (xy 114.242245 74.624316) + (xy 114.240447 74.624429) + (xy 114.230263 74.687357) + (xy 114.182831 74.740183) + (xy 114.116591 74.759) + (xy 113.065115 74.759) + (xy 113.049876 74.763475) + (xy 113.048671 74.764865) + (xy 113.047 74.772548) + (xy 113.047 74.775438) + (xy 113.047337 74.781953) + (xy 113.056894 74.874057) + (xy 113.059788 74.887456) + (xy 113.109381 75.036107) + (xy 113.115555 75.049286) + (xy 113.197788 75.182173) + (xy 113.211371 75.199311) + (xy 113.209441 75.200841) + (xy 113.237903 75.25288) + (xy 113.232887 75.323699) + (xy 113.209201 75.360617) + (xy 113.210157 75.361372) + (xy 113.205619 75.367118) + (xy 113.200448 75.372298) + (xy 113.110698 75.517899) + (xy 113.056851 75.680243) + (xy 113.056151 75.68708) + (xy 113.05615 75.687082) + (xy 113.053539 75.712571) + (xy 113.0465 75.781268) + (xy 113.0465 76.328732) + (xy 113.057113 76.431019) + (xy 113.111244 76.593268) + (xy 113.201248 76.738713) + (xy 113.322298 76.859552) + (xy 113.328528 76.863392) + (xy 113.328529 76.863393) + (xy 113.395318 76.904562) + (xy 113.467899 76.949302) + (xy 113.630243 77.003149) + (xy 113.63708 77.003849) + (xy 113.637082 77.00385) + (xy 113.678401 77.008083) + (xy 113.731268 77.0135) + (xy 113.85621 77.0135) + (xy 113.924331 77.033502) + (xy 113.970824 77.087158) + (xy 113.980928 77.157432) + (xy 113.962122 77.207753) + (xy 113.953508 77.22112) + (xy 113.899794 77.267543) + (xy 113.86077 77.278173) + (xy 113.788268 77.285793) + (xy 113.744118 77.290433) + (xy 113.744117 77.290433) + (xy 113.737117 77.291169) + (xy 113.565408 77.349623) + (xy 113.559404 77.353317) + (xy 113.416924 77.440971) + (xy 113.416921 77.440973) + (xy 113.410917 77.444667) + (xy 113.405882 77.449598) + (xy 113.405879 77.4496) + (xy 113.286915 77.566099) + (xy 113.281322 77.571576) + (xy 113.183064 77.724043) + (xy 113.180655 77.730663) + (xy 113.180653 77.730666) + (xy 113.169212 77.762101) + (xy 113.135679 77.854233) + (xy 113.121898 77.892095) + (xy 113.079804 77.949266) + (xy 113.058666 77.962086) + (xy 113.053579 77.963818) + (xy 112.951017 78.026915) + (xy 112.882519 78.045573) + (xy 112.814805 78.024235) + (xy 112.769376 77.969675) + (xy 112.758997 77.919597) + (xy 112.758997 74.233126) + (xy 113.047071 74.233126) + (xy 113.051475 74.248124) + (xy 113.052865 74.249329) + (xy 113.060548 74.251) + (xy 113.757885 74.251) + (xy 113.773124 74.246525) + (xy 113.774329 74.245135) + (xy 113.776 74.237452) + (xy 113.776 73.565115) + (xy 113.771525 73.549876) + (xy 113.770135 73.548671) + (xy 113.762452 73.547) + (xy 113.734562 73.547) + (xy 113.728047 73.547337) + (xy 113.635943 73.556894) + (xy 113.622544 73.559788) + (xy 113.473893 73.609381) + (xy 113.460714 73.615555) + (xy 113.327827 73.697788) + (xy 113.316426 73.706824) + (xy 113.206014 73.817429) + (xy 113.197002 73.82884) + (xy 113.114996 73.96188) + (xy 113.108849 73.975061) + (xy 113.059509 74.123814) + (xy 113.056642 74.13719) + (xy 113.047328 74.228097) + (xy 113.047071 74.233126) + (xy 112.758997 74.233126) + (xy 112.758997 73.595163) + (xy 112.778999 73.527042) + (xy 112.795902 73.506068) + (xy 112.866533 73.435437) + (xy 112.929431 73.401285) + (xy 112.945589 73.39785) + (xy 113.082288 73.368794) + (xy 113.239651 73.298732) + (xy 113.250722 73.293803) + (xy 113.250724 73.293802) + (xy 113.256752 73.291118) + (xy 113.411253 73.178866) + (xy 113.441809 73.14493) + (xy 113.534621 73.041852) + (xy 113.534622 73.041851) + (xy 113.53904 73.036944) + (xy 113.619903 72.896886) + (xy 113.631223 72.877279) + (xy 113.631224 72.877278) + (xy 113.634527 72.871556) + (xy 113.691711 72.695563) + (xy 113.731785 72.636958) + (xy 113.797182 72.609321) + (xy 113.811544 72.6085) + (xy 114.1155 72.6085) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 115.686518 71.899545) + (xy 115.722945 71.924915) + (xy 115.879115 72.081085) + (xy 115.913141 72.143397) + (xy 115.91602 72.17018) + (xy 115.91602 74.003) + (xy 115.896018 74.071121) + (xy 115.842362 74.117614) + (xy 115.79002 74.129) + (xy 115.6845 74.129) + (xy 115.616379 74.108998) + (xy 115.569886 74.055342) + (xy 115.5585 74.003) + (xy 115.5585 72.382056) + (xy 115.559059 72.3702) + (xy 115.559059 72.370197) + (xy 115.560788 72.362463) + (xy 115.558562 72.291631) + (xy 115.5585 72.287673) + (xy 115.5585 72.258568) + (xy 115.557944 72.254168) + (xy 115.557012 72.24233) + (xy 115.555811 72.204094) + (xy 115.555562 72.196169) + (xy 115.54958 72.175579) + (xy 115.54557 72.156216) + (xy 115.543875 72.142796) + (xy 115.543875 72.142795) + (xy 115.542882 72.134936) + (xy 115.539966 72.127571) + (xy 115.539965 72.127567) + (xy 115.525876 72.091982) + (xy 115.522032 72.080754) + (xy 115.512854 72.049165) + (xy 115.513055 71.978168) + (xy 115.551608 71.918552) + (xy 115.616273 71.889242) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 121.406343 68.364146) + (xy 121.45397 68.416797) + (xy 121.4665 68.471574) + (xy 121.4665 69.556256) + (xy 121.446498 69.624377) + (xy 121.442436 69.630317) + (xy 121.440379 69.633148) + (xy 121.43596 69.638056) + (xy 121.432659 69.643774) + (xy 121.432658 69.643775) + (xy 121.350662 69.785797) + (xy 121.340473 69.803444) + (xy 121.281458 69.985072) + (xy 121.261496 70.175) + (xy 121.281458 70.364928) + (xy 121.340473 70.546556) + (xy 121.343776 70.552278) + (xy 121.343777 70.552279) + (xy 121.408911 70.665094) + (xy 121.43596 70.711944) + (xy 121.440379 70.716852) + (xy 121.442436 70.719683) + (xy 121.466295 70.786551) + (xy 121.4665 70.793744) + (xy 121.4665 72.143951) + (xy 121.446498 72.212072) + (xy 121.429674 72.232968) + (xy 121.387747 72.274969) + (xy 121.370448 72.292298) + (xy 121.366608 72.298528) + (xy 121.366607 72.298529) + (xy 121.327198 72.362463) + (xy 121.280698 72.437899) + (xy 121.278394 72.444846) + (xy 121.244897 72.545837) + (xy 121.204467 72.604197) + (xy 121.138903 72.631434) + (xy 121.085637 72.625763) + (xy 120.946189 72.57951) + (xy 120.93281 72.576642) + (xy 120.841903 72.567328) + (xy 120.835486 72.567) + (xy 120.812115 72.567) + (xy 120.796876 72.571475) + (xy 120.795671 72.572865) + (xy 120.794 72.580548) + (xy 120.794 73.653) + (xy 120.773998 73.721121) + (xy 120.720342 73.767614) + (xy 120.668 73.779) + (xy 120.412 73.779) + (xy 120.343879 73.758998) + (xy 120.297386 73.705342) + (xy 120.286 73.653) + (xy 120.286 72.585115) + (xy 120.281525 72.569876) + (xy 120.280135 72.568671) + (xy 120.272452 72.567) + (xy 120.244562 72.567) + (xy 120.238047 72.567337) + (xy 120.145943 72.576894) + (xy 120.132544 72.579788) + (xy 119.983893 72.629381) + (xy 119.970714 72.635555) + (xy 119.837827 72.717788) + (xy 119.826426 72.726824) + (xy 119.716014 72.837429) + (xy 119.707002 72.84884) + (xy 119.64176 72.954683) + (xy 119.588988 73.002176) + (xy 119.518917 73.0136) + (xy 119.453793 72.985326) + (xy 119.414293 72.926332) + (xy 119.4085 72.888567) + (xy 119.4085 72.2595) + (xy 119.428502 72.191379) + (xy 119.482158 72.144886) + (xy 119.5345 72.1335) + (xy 119.804572 72.1335) + (xy 119.807818 72.133163) + (xy 119.807822 72.133163) + (xy 119.853301 72.128444) + (xy 119.905982 72.122978) + (xy 120.066849 72.069308) + (xy 120.211055 71.980071) + (xy 120.330864 71.860053) + (xy 120.339747 71.845643) + (xy 120.360231 71.81241) + (xy 120.419849 71.715692) + (xy 120.430203 71.684476) + (xy 120.471072 71.561262) + (xy 120.471072 71.56126) + (xy 120.473238 71.554731) + (xy 120.4835 71.454572) + (xy 120.4835 70.920428) + (xy 120.483066 70.91624) + (xy 120.47488 70.837352) + (xy 120.472978 70.819018) + (xy 120.419308 70.658151) + (xy 120.330071 70.513945) + (xy 120.305195 70.489113) + (xy 120.271116 70.426832) + (xy 120.276118 70.356012) + (xy 120.30504 70.310922) + (xy 120.325693 70.290233) + (xy 120.330864 70.285053) + (xy 120.419849 70.140692) + (xy 120.473238 69.979731) + (xy 120.4835 69.879572) + (xy 120.4835 69.345428) + (xy 120.472978 69.244018) + (xy 120.419308 69.083151) + (xy 120.330071 68.938945) + (xy 120.210053 68.819136) + (xy 120.199401 68.81257) + (xy 120.151909 68.759797) + (xy 120.140487 68.689725) + (xy 120.168761 68.624602) + (xy 120.227756 68.585103) + (xy 120.287938 68.581322) + (xy 120.337481 68.590281) + (xy 120.377524 68.597522) + (xy 120.377529 68.597523) + (xy 120.381607 68.59826) + (xy 120.399344 68.599096) + (xy 120.404292 68.59933) + (xy 120.404299 68.59933) + (xy 120.40578 68.5994) + (xy 120.567925 68.5994) + (xy 120.634881 68.593719) + (xy 120.734562 68.585261) + (xy 120.734566 68.58526) + (xy 120.739873 68.58481) + (xy 120.745028 68.583472) + (xy 120.745034 68.583471) + (xy 120.958003 68.528195) + (xy 120.958007 68.528194) + (xy 120.963172 68.526853) + (xy 120.968038 68.524661) + (xy 120.968041 68.52466) + (xy 121.168649 68.434293) + (xy 121.173515 68.432101) + (xy 121.177935 68.429125) + (xy 121.177939 68.429123) + (xy 121.270132 68.367054) + (xy 121.337811 68.345603) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 117.733621 72.166002) + (xy 117.780114 72.219658) + (xy 117.7915 72.272) + (xy 117.7915 72.434521) + (xy 117.771498 72.502642) + (xy 117.760724 72.517034) + (xy 117.755671 72.522865) + (xy 117.754 72.530548) + (xy 117.754 73.603) + (xy 117.733998 73.671121) + (xy 117.680342 73.717614) + (xy 117.628 73.729) + (xy 117.45902 73.729) + (xy 117.390899 73.708998) + (xy 117.344406 73.655342) + (xy 117.33302 73.603) + (xy 117.33302 72.272) + (xy 117.353022 72.203879) + (xy 117.406678 72.157386) + (xy 117.45902 72.146) + (xy 117.6655 72.146) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 118.994621 56.916002) + (xy 119.015595 56.932905) + (xy 119.56321 57.48052) + (xy 119.569064 57.486785) + (xy 119.607099 57.530385) + (xy 119.613317 57.534755) + (xy 119.659357 57.567112) + (xy 119.664653 57.571045) + (xy 119.714942 57.610477) + (xy 119.721864 57.613602) + (xy 119.724112 57.614964) + (xy 119.738845 57.623368) + (xy 119.741184 57.624622) + (xy 119.747399 57.62899) + (xy 119.754475 57.631749) + (xy 119.754479 57.631751) + (xy 119.806934 57.652202) + (xy 119.813012 57.654757) + (xy 119.871234 57.681045) + (xy 119.878705 57.682429) + (xy 119.881259 57.68323) + (xy 119.897538 57.687867) + (xy 119.900093 57.688523) + (xy 119.907169 57.691282) + (xy 119.914698 57.692273) + (xy 119.922058 57.694163) + (xy 119.921277 57.697205) + (xy 119.973129 57.720164) + (xy 120.012201 57.779443) + (xy 120.013021 57.850435) + (xy 120.009705 57.860566) + (xy 120.001522 57.882395) + (xy 119.997895 57.897649) + (xy 119.992369 57.948514) + (xy 119.992 57.955328) + (xy 119.992 58.327885) + (xy 119.996475 58.343124) + (xy 119.997865 58.344329) + (xy 120.005548 58.346) + (xy 121.078 58.346) + (xy 121.146121 58.366002) + (xy 121.192614 58.419658) + (xy 121.204 58.472) + (xy 121.204 59.689884) + (xy 121.208475 59.705123) + (xy 121.209865 59.706328) + (xy 121.217548 59.707999) + (xy 121.444669 59.707999) + (xy 121.45149 59.707629) + (xy 121.502352 59.702105) + (xy 121.517604 59.698479) + (xy 121.640418 59.652438) + (xy 121.711226 59.647255) + (xy 121.773595 59.681176) + (xy 121.804172 59.730545) + (xy 121.82938 59.806105) + (xy 121.835555 59.819286) + (xy 121.917788 59.952173) + (xy 121.926824 59.963574) + (xy 122.037429 60.073986) + (xy 122.04884 60.082998) + (xy 122.18188 60.165004) + (xy 122.195061 60.171151) + (xy 122.343814 60.220491) + (xy 122.35719 60.223358) + (xy 122.448097 60.232672) + (xy 122.454513 60.233) + (xy 122.477885 60.233) + (xy 122.493124 60.228525) + (xy 122.494329 60.227135) + (xy 122.496 60.219452) + (xy 122.496 59.147) + (xy 122.516002 59.078879) + (xy 122.569658 59.032386) + (xy 122.622 59.021) + (xy 122.878 59.021) + (xy 122.946121 59.041002) + (xy 122.992614 59.094658) + (xy 123.004 59.147) + (xy 123.004 60.214885) + (xy 123.008475 60.230124) + (xy 123.009865 60.231329) + (xy 123.020889 60.233727) + (xy 123.083201 60.267753) + (xy 123.114727 60.320427) + (xy 123.115452 60.322829) + (xy 123.116757 60.330306) + (xy 123.119808 60.337255) + (xy 123.119809 60.33726) + (xy 123.142442 60.388817) + (xy 123.144933 60.394921) + (xy 123.167513 60.454678) + (xy 123.171817 60.460941) + (xy 123.173054 60.463307) + (xy 123.181299 60.478119) + (xy 123.182632 60.480373) + (xy 123.185685 60.487327) + (xy 123.223759 60.536944) + (xy 123.224579 60.538013) + (xy 123.228459 60.543354) + (xy 123.260339 60.589742) + (xy 123.260344 60.589747) + (xy 123.264643 60.596003) + (xy 123.298789 60.626426) + (xy 123.336342 60.686673) + (xy 123.335363 60.757663) + (xy 123.296158 60.816854) + (xy 123.231177 60.845453) + (xy 123.214968 60.8465) + (xy 122.951268 60.8465) + (xy 122.948022 60.846837) + (xy 122.948018 60.846837) + (xy 122.913917 60.850375) + (xy 122.848981 60.857113) + (xy 122.84244 60.859295) + (xy 122.842441 60.859295) + (xy 122.693676 60.908927) + (xy 122.693674 60.908928) + (xy 122.686732 60.911244) + (xy 122.680508 60.915096) + (xy 122.680507 60.915096) + (xy 122.647793 60.93534) + (xy 122.541287 61.001248) + (xy 122.420448 61.122298) + (xy 122.416608 61.128528) + (xy 122.416607 61.128529) + (xy 122.361554 61.217842) + (xy 122.330698 61.267899) + (xy 122.276851 61.430243) + (xy 122.2665 61.531268) + (xy 122.2665 62.078732) + (xy 122.266837 62.081978) + (xy 122.266837 62.081982) + (xy 122.267993 62.093124) + (xy 122.277113 62.181019) + (xy 122.279295 62.187559) + (xy 122.317309 62.301499) + (xy 122.331244 62.343268) + (xy 122.335096 62.349492) + (xy 122.335096 62.349493) + (xy 122.34486 62.365271) + (xy 122.421248 62.488713) + (xy 122.42643 62.493886) + (xy 122.430977 62.499623) + (xy 122.42917 62.501055) + (xy 122.457902 62.553575) + (xy 122.452892 62.624395) + (xy 122.429501 62.660853) + (xy 122.430552 62.661683) + (xy 122.417002 62.67884) + (xy 122.334996 62.81188) + (xy 122.328849 62.825061) + (xy 122.279509 62.973814) + (xy 122.276642 62.98719) + (xy 122.267328 63.078097) + (xy 122.267071 63.083126) + (xy 122.271475 63.098124) + (xy 122.272865 63.099329) + (xy 122.280548 63.101) + (xy 123.378 63.101) + (xy 123.446121 63.121002) + (xy 123.492614 63.174658) + (xy 123.504 63.227) + (xy 123.504 64.294885) + (xy 123.505442 64.299796) + (xy 123.505442 64.370793) + (xy 123.473641 64.424389) + (xy 121.69448 66.20355) + (xy 121.688215 66.209404) + (xy 121.644615 66.247439) + (xy 121.640251 66.253649) + (xy 121.639984 66.253945) + (xy 121.579538 66.291183) + (xy 121.508554 66.289831) + (xy 121.461354 66.261663) + (xy 121.461153 66.261908) + (xy 121.459519 66.260568) + (xy 121.459518 66.260567) + (xy 121.393694 66.206595) + (xy 121.286885 66.119016) + (xy 121.286879 66.119012) + (xy 121.282757 66.115632) + (xy 121.082265 66.001506) + (xy 121.077249 65.999685) + (xy 121.077244 65.999683) + (xy 120.870425 65.924611) + (xy 120.870421 65.92461) + (xy 120.86541 65.922791) + (xy 120.860161 65.921842) + (xy 120.860158 65.921841) + (xy 120.642477 65.882478) + (xy 120.64247 65.882477) + (xy 120.638393 65.88174) + (xy 120.620656 65.880904) + (xy 120.615708 65.88067) + (xy 120.615701 65.88067) + (xy 120.61422 65.8806) + (xy 120.452075 65.8806) + (xy 120.385119 65.886281) + (xy 120.285438 65.894739) + (xy 120.285434 65.89474) + (xy 120.280127 65.89519) + (xy 120.274972 65.896528) + (xy 120.274966 65.896529) + (xy 120.061997 65.951805) + (xy 120.061993 65.951806) + (xy 120.056828 65.953147) + (xy 120.051962 65.955339) + (xy 120.051959 65.95534) + (xy 119.88625 66.029986) + (xy 119.815925 66.039727) + (xy 119.751497 66.009901) + (xy 119.713422 65.949978) + (xy 119.7085 65.915104) + (xy 119.7085 64.173953) + (xy 119.728502 64.105832) + (xy 119.782158 64.059339) + (xy 119.795548 64.054126) + (xy 119.836108 64.040947) + (xy 119.991912 63.948069) + (xy 120.123266 63.822982) + (xy 120.223643 63.671902) + (xy 120.241293 63.625438) + (xy 122.267 63.625438) + (xy 122.267337 63.631953) + (xy 122.276894 63.724057) + (xy 122.279788 63.737456) + (xy 122.329381 63.886107) + (xy 122.335555 63.899286) + (xy 122.417788 64.032173) + (xy 122.426824 64.043574) + (xy 122.537429 64.153986) + (xy 122.54884 64.162998) + (xy 122.68188 64.245004) + (xy 122.695061 64.251151) + (xy 122.843814 64.300491) + (xy 122.85719 64.303358) + (xy 122.948097 64.312672) + (xy 122.954513 64.313) + (xy 122.977885 64.313) + (xy 122.993124 64.308525) + (xy 122.994329 64.307135) + (xy 122.996 64.299452) + (xy 122.996 63.627115) + (xy 122.991525 63.611876) + (xy 122.990135 63.610671) + (xy 122.982452 63.609) + (xy 122.285115 63.609) + (xy 122.269876 63.613475) + (xy 122.268671 63.614865) + (xy 122.267 63.622548) + (xy 122.267 63.625438) + (xy 120.241293 63.625438) + (xy 120.271137 63.546874) + (xy 120.285555 63.50892) + (xy 120.285556 63.508918) + (xy 120.288055 63.502338) + (xy 120.313299 63.322717) + (xy 120.313616 63.3) + (xy 120.293397 63.119745) + (xy 120.286869 63.101) + (xy 120.236064 62.955106) + (xy 120.236062 62.955103) + (xy 120.233745 62.948448) + (xy 120.189016 62.876866) + (xy 120.141359 62.800598) + (xy 120.137626 62.794624) + (xy 120.132664 62.789627) + (xy 120.014778 62.670915) + (xy 120.014774 62.670912) + (xy 120.009815 62.665918) + (xy 119.964478 62.637146) + (xy 119.920996 62.609552) + (xy 119.856666 62.568727) + (xy 119.782428 62.542292) + (xy 119.692425 62.510243) + (xy 119.69242 62.510242) + (xy 119.68579 62.507881) + (xy 119.678802 62.507048) + (xy 119.678799 62.507047) + (xy 119.555058 62.492292) + (xy 119.50568 62.486404) + (xy 119.498677 62.48714) + (xy 119.498676 62.48714) + (xy 119.483711 62.488713) + (xy 119.44966 62.492292) + (xy 119.379823 62.47952) + (xy 119.327976 62.431018) + (xy 119.311568 62.383427) + (xy 119.3085 62.360121) + (xy 119.3085 62.360115) + (xy 119.293916 62.249338) + (xy 119.292838 62.24115) + (xy 119.234271 62.099757) + (xy 119.231524 62.093124) + (xy 119.158477 61.997928) + (xy 119.158474 61.997925) + (xy 119.133987 61.966013) + (xy 119.11562 61.951919) + (xy 119.108621 61.946548) + (xy 119.09623 61.935681) + (xy 118.114315 60.953766) + (xy 118.103448 60.941375) + (xy 118.089013 60.922563) + (xy 118.083987 60.916013) + (xy 118.052075 60.891526) + (xy 118.052072 60.891523) + (xy 118.039274 60.881703) + (xy 118.038933 60.881236) + (xy 118.03759 60.88041) + (xy 117.968087 60.827079) + (xy 117.934274 60.787629) + (xy 117.933767 60.786703) + (xy 117.930615 60.778295) + (xy 117.92523 60.77111) + (xy 117.925229 60.771108) + (xy 117.884716 60.717052) + (xy 117.872494 60.68434) + (xy 117.854528 60.674805) + (xy 117.848642 60.668919) + (xy 117.843261 60.661739) + (xy 117.726705 60.574385) + (xy 117.590316 60.523255) + (xy 117.528134 60.5165) + (xy 116.371866 60.5165) + (xy 116.309684 60.523255) + (xy 116.173295 60.574385) + (xy 116.056739 60.661739) + (xy 115.969385 60.778295) + (xy 115.966233 60.786703) + (xy 115.961923 60.794575) + (xy 115.959543 60.793272) + (xy 115.925336 60.838804) + (xy 115.858773 60.863501) + (xy 115.789425 60.848291) + (xy 115.739309 60.798003) + (xy 115.736067 60.790903) + (xy 115.733766 60.786701) + (xy 115.730615 60.778295) + (xy 115.643261 60.661739) + (xy 115.526705 60.574385) + (xy 115.390316 60.523255) + (xy 115.328134 60.5165) + (xy 114.171866 60.5165) + (xy 114.109684 60.523255) + (xy 113.973295 60.574385) + (xy 113.970158 60.576736) + (xy 113.91245 60.5915) + (xy 111.332073 60.5915) + (xy 111.263952 60.571498) + (xy 111.229302 60.538398) + (xy 111.221414 60.527277) + (xy 111.22141 60.527273) + (xy 111.217946 60.522389) + (xy 111.06515 60.376119) + (xy 111.060119 60.37287) + (xy 111.060112 60.372865) + (xy 111.032393 60.354967) + (xy 110.986016 60.301211) + (xy 110.976063 60.230915) + (xy 111.005696 60.166398) + (xy 111.022909 60.15003) + (xy 111.137857 60.059738) + (xy 111.146506 60.051501) + (xy 111.277212 59.900877) + (xy 111.284147 59.891153) + (xy 111.38401 59.718533) + (xy 111.388984 59.707669) + (xy 111.454407 59.519273) + (xy 111.454648 59.518284) + (xy 111.45318 59.507992) + (xy 111.439615 59.504) + (xy 109.972 59.504) + (xy 109.903879 59.483998) + (xy 109.857386 59.430342) + (xy 109.846 59.378) + (xy 109.846 59.122) + (xy 109.866002 59.053879) + (xy 109.919658 59.007386) + (xy 109.972 58.996) + (xy 111.435402 58.996) + (xy 111.448933 58.992027) + (xy 111.450288 58.982601) + (xy 111.428806 58.893463) + (xy 111.424917 58.882168) + (xy 111.347388 58.711651) + (xy 111.337402 58.64136) + (xy 111.367002 58.576829) + (xy 111.426793 58.538545) + (xy 111.462089 58.5335) + (xy 113.987462 58.5335) + (xy 114.055583 58.553502) + (xy 114.102076 58.607158) + (xy 114.106986 58.619624) + (xy 114.13345 58.698946) + (xy 114.226522 58.849348) + (xy 114.351697 58.974305) + (xy 114.357927 58.978145) + (xy 114.357928 58.978146) + (xy 114.49509 59.062694) + (xy 114.502262 59.067115) + (xy 114.575781 59.0915) + (xy 114.663611 59.120632) + (xy 114.663613 59.120632) + (xy 114.670139 59.122797) + (xy 114.676975 59.123497) + (xy 114.676978 59.123498) + (xy 114.720031 59.127909) + (xy 114.7746 59.1335) + (xy 116.0254 59.1335) + (xy 116.028646 59.133163) + (xy 116.02865 59.133163) + (xy 116.124308 59.123238) + (xy 116.124312 59.123237) + (xy 116.131166 59.122526) + (xy 116.137702 59.120345) + (xy 116.137704 59.120345) + (xy 116.284115 59.071498) + (xy 116.298946 59.06655) + (xy 116.449348 58.973478) + (xy 116.476327 58.946452) + (xy 116.53861 58.912373) + (xy 116.60943 58.917376) + (xy 116.666302 58.959873) + (xy 116.691171 59.026372) + (xy 116.6915 59.03547) + (xy 116.6915 59.248134) + (xy 116.698255 59.310316) + (xy 116.749385 59.446705) + (xy 116.836739 59.563261) + (xy 116.953295 59.650615) + (xy 117.089684 59.701745) + (xy 117.151866 59.7085) + (xy 117.52505 59.7085) + (xy 117.593171 59.728502) + (xy 117.614145 59.745405) + (xy 117.85703 59.98829) + (xy 117.891056 60.050602) + (xy 117.893244 60.064211) + (xy 117.906458 60.189928) + (xy 117.908498 60.196205) + (xy 117.908498 60.196207) + (xy 117.91991 60.231329) + (xy 117.965473 60.371556) + (xy 117.968776 60.377278) + (xy 117.968777 60.377279) + (xy 118.052742 60.52271) + (xy 118.056687 60.538973) + (xy 118.060995 60.540577) + (xy 118.079177 60.557176) + (xy 118.110082 60.5915) + (xy 118.179791 60.668919) + (xy 118.188747 60.678866) + (xy 118.343248 60.791118) + (xy 118.349276 60.793802) + (xy 118.349278 60.793803) + (xy 118.511681 60.866109) + (xy 118.517712 60.868794) + (xy 118.611113 60.888647) + (xy 118.698056 60.907128) + (xy 118.698061 60.907128) + (xy 118.704513 60.9085) + (xy 118.895487 60.9085) + (xy 118.901939 60.907128) + (xy 118.901944 60.907128) + (xy 118.988887 60.888647) + (xy 119.082288 60.868794) + (xy 119.088319 60.866109) + (xy 119.250722 60.793803) + (xy 119.250724 60.793802) + (xy 119.256752 60.791118) + (xy 119.411253 60.678866) + (xy 119.491501 60.589742) + (xy 119.534621 60.541852) + (xy 119.534622 60.541851) + (xy 119.53904 60.536944) + (xy 119.624561 60.388817) + (xy 119.631223 60.377279) + (xy 119.631224 60.377278) + (xy 119.634527 60.371556) + (xy 119.693542 60.189928) + (xy 119.697051 60.156548) + (xy 119.712814 60.006565) + (xy 119.713504 60) + (xy 119.69582 59.831745) + (xy 119.694232 59.816635) + (xy 119.694232 59.816633) + (xy 119.693542 59.810072) + (xy 119.634527 59.628444) + (xy 119.53904 59.463056) + (xy 119.509439 59.43018) + (xy 119.415675 59.326045) + (xy 119.415674 59.326044) + (xy 119.411253 59.321134) + (xy 119.306008 59.244669) + (xy 119.992001 59.244669) + (xy 119.992371 59.25149) + (xy 119.997895 59.302352) + (xy 120.001521 59.317604) + (xy 120.046676 59.438054) + (xy 120.055214 59.453649) + (xy 120.131715 59.555724) + (xy 120.144276 59.568285) + (xy 120.246351 59.644786) + (xy 120.261946 59.653324) + (xy 120.382394 59.698478) + (xy 120.397649 59.702105) + (xy 120.448514 59.707631) + (xy 120.455328 59.708) + (xy 120.677885 59.708) + (xy 120.693124 59.703525) + (xy 120.694329 59.702135) + (xy 120.696 59.694452) + (xy 120.696 58.872115) + (xy 120.691525 58.856876) + (xy 120.690135 58.855671) + (xy 120.682452 58.854) + (xy 120.010116 58.854) + (xy 119.994877 58.858475) + (xy 119.993672 58.859865) + (xy 119.992001 58.867548) + (xy 119.992001 59.244669) + (xy 119.306008 59.244669) + (xy 119.256752 59.208882) + (xy 119.250724 59.206198) + (xy 119.250722 59.206197) + (xy 119.088319 59.133891) + (xy 119.088318 59.133891) + (xy 119.082288 59.131206) + (xy 118.988888 59.111353) + (xy 118.901944 59.092872) + (xy 118.901939 59.092872) + (xy 118.895487 59.0915) + (xy 118.87495 59.0915) + (xy 118.806829 59.071498) + (xy 118.785855 59.054595) + (xy 118.645405 58.914145) + (xy 118.611379 58.851833) + (xy 118.6085 58.82505) + (xy 118.6085 57.951866) + (xy 118.601745 57.889684) + (xy 118.550615 57.753295) + (xy 118.463261 57.636739) + (xy 118.358935 57.558551) + (xy 118.31642 57.501692) + (xy 118.3085 57.457725) + (xy 118.3085 57.034757) + (xy 118.328502 56.966636) + (xy 118.345328 56.945738) + (xy 118.358063 56.932981) + (xy 118.420346 56.898903) + (xy 118.447235 56.896) + (xy 118.9265 56.896) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 121.332085 54.441002) + (xy 121.378578 54.494658) + (xy 121.388682 54.564932) + (xy 121.367585 54.614061) + (xy 121.369531 54.61526) + (xy 121.284447 54.753291) + (xy 121.278303 54.766468) + (xy 121.229421 54.913843) + (xy 121.226555 54.92721) + (xy 121.217386 55.0167) + (xy 121.221475 55.030624) + (xy 121.222865 55.031829) + (xy 121.230548 55.0335) + (xy 122.328 55.0335) + (xy 122.396121 55.053502) + (xy 122.442614 55.107158) + (xy 122.454 55.1595) + (xy 122.454 56.214885) + (xy 122.458475 56.230124) + (xy 122.459865 56.231329) + (xy 122.467548 56.233) + (xy 122.501266 56.233) + (xy 122.507782 56.232663) + (xy 122.599021 56.223196) + (xy 122.612417 56.220303) + (xy 122.759687 56.17117) + (xy 122.772866 56.164996) + (xy 122.904514 56.08353) + (xy 122.915915 56.074494) + (xy 123.025298 55.96492) + (xy 123.03431 55.953509) + (xy 123.115553 55.821709) + (xy 123.121697 55.808531) + (xy 123.147851 55.729681) + (xy 123.188282 55.671321) + (xy 123.253846 55.644084) + (xy 123.283889 55.644426) + (xy 123.32336 55.649622) + (xy 123.329877 55.650654) + (xy 123.36827 55.65777) + (xy 123.392686 55.662295) + (xy 123.400266 55.661858) + (xy 123.40428 55.661627) + (xy 123.43597 55.659799) + (xy 123.505128 55.675846) + (xy 123.518787 55.684763) + (xy 123.573295 55.725615) + (xy 123.709684 55.776745) + (xy 123.771866 55.7835) + (xy 124.928134 55.7835) + (xy 124.990316 55.776745) + (xy 125.126705 55.725615) + (xy 125.243261 55.638261) + (xy 125.330615 55.521705) + (xy 125.333767 55.513297) + (xy 125.338077 55.505425) + (xy 125.340457 55.506728) + (xy 125.374664 55.461196) + (xy 125.441227 55.436499) + (xy 125.510575 55.451709) + (xy 125.560691 55.501997) + (xy 125.563933 55.509097) + (xy 125.566234 55.513299) + (xy 125.569385 55.521705) + (xy 125.656739 55.638261) + (xy 125.773295 55.725615) + (xy 125.909684 55.776745) + (xy 125.971866 55.7835) + (xy 127.128134 55.7835) + (xy 127.190316 55.776745) + (xy 127.326705 55.725615) + (xy 127.443261 55.638261) + (xy 127.465748 55.608257) + (xy 127.515947 55.541277) + (xy 127.572807 55.498762) + (xy 127.643625 55.493736) + (xy 127.705918 55.527796) + (xy 127.736297 55.576966) + (xy 127.779381 55.706107) + (xy 127.785555 55.719286) + (xy 127.867788 55.852173) + (xy 127.876824 55.863574) + (xy 127.987429 55.973986) + (xy 127.99884 55.982998) + (xy 128.13188 56.065004) + (xy 128.145061 56.071151) + (xy 128.293814 56.120491) + (xy 128.30719 56.123358) + (xy 128.398097 56.132672) + (xy 128.404513 56.133) + (xy 128.427885 56.133) + (xy 128.443124 56.128525) + (xy 128.444329 56.127135) + (xy 128.446 56.119452) + (xy 128.446 55.047) + (xy 128.466002 54.978879) + (xy 128.519658 54.932386) + (xy 128.572 54.921) + (xy 128.828 54.921) + (xy 128.896121 54.941002) + (xy 128.942614 54.994658) + (xy 128.954 55.047) + (xy 128.954 56.114885) + (xy 128.958475 56.130124) + (xy 128.959865 56.131329) + (xy 128.980783 56.135879) + (xy 128.980454 56.137391) + (xy 129.033621 56.153002) + (xy 129.080114 56.206658) + (xy 129.0915 56.259) + (xy 129.0915 58.304139) + (xy 129.071498 58.37226) + (xy 129.017842 58.418753) + (xy 128.947568 58.428857) + (xy 128.882988 58.399363) + (xy 128.871869 58.388454) + (xy 128.811253 58.321134) + (xy 128.656752 58.208882) + (xy 128.650724 58.206198) + (xy 128.650722 58.206197) + (xy 128.488319 58.133891) + (xy 128.488318 58.133891) + (xy 128.482288 58.131206) + (xy 128.388888 58.111353) + (xy 128.301944 58.092872) + (xy 128.301939 58.092872) + (xy 128.295487 58.0915) + (xy 128.278025 58.0915) + (xy 128.209904 58.071498) + (xy 128.192343 58.055932) + (xy 128.191416 58.05692) + (xy 128.139743 58.008396) + (xy 128.136901 58.005641) + (xy 128.116333 57.985073) + (xy 128.112826 57.982353) + (xy 128.103804 57.974647) + (xy 128.083183 57.955283) + (xy 128.070133 57.943028) + (xy 128.063181 57.939206) + (xy 128.051342 57.932697) + (xy 128.034818 57.921843) + (xy 128.024132 57.913555) + (xy 128.017868 57.908696) + (xy 128.010596 57.905549) + (xy 128.010594 57.905548) + (xy 127.975465 57.890346) + (xy 127.964805 57.885124) + (xy 127.931284 57.866695) + (xy 127.931282 57.866694) + (xy 127.924337 57.862876) + (xy 127.903559 57.857541) + (xy 127.884869 57.851142) + (xy 127.865176 57.84262) + (xy 127.819552 57.835394) + (xy 127.807932 57.832987) + (xy 127.797668 57.830352) + (xy 127.73666 57.79404) + (xy 127.70497 57.730509) + (xy 127.702999 57.70831) + (xy 127.702999 57.585331) + (xy 127.702629 57.57851) + (xy 127.697105 57.527648) + (xy 127.693479 57.512396) + (xy 127.648324 57.391946) + (xy 127.639786 57.376351) + (xy 127.563285 57.274276) + (xy 127.550724 57.261715) + (xy 127.448649 57.185214) + (xy 127.433054 57.176676) + (xy 127.312606 57.131522) + (xy 127.297351 57.127895) + (xy 127.246486 57.122369) + (xy 127.239672 57.122) + (xy 127.088115 57.122) + (xy 127.072876 57.126475) + (xy 127.071671 57.127865) + (xy 127.07 57.135548) + (xy 127.07 57.6455) + (xy 127.049998 57.713621) + (xy 126.996342 57.760114) + (xy 126.944 57.7715) + (xy 126.796 57.7715) + (xy 126.727879 57.751498) + (xy 126.681386 57.697842) + (xy 126.67 57.6455) + (xy 126.67 57.140116) + (xy 126.665525 57.124877) + (xy 126.664135 57.123672) + (xy 126.656452 57.122001) + (xy 126.500331 57.122001) + (xy 126.49351 57.122371) + (xy 126.442648 57.127895) + (xy 126.427396 57.131521) + (xy 126.306946 57.176676) + (xy 126.291352 57.185213) + (xy 126.231517 57.230057) + (xy 126.165011 57.254904) + (xy 126.105911 57.244866) + (xy 126.095464 57.240345) + (xy 126.084805 57.235124) + (xy 126.051284 57.216695) + (xy 126.051282 57.216694) + (xy 126.044337 57.212876) + (xy 126.023559 57.207541) + (xy 126.004869 57.201142) + (xy 125.985176 57.19262) + (xy 125.939552 57.185394) + (xy 125.927929 57.182987) + (xy 125.899928 57.175798) + (xy 125.883188 57.1715) + (xy 125.861741 57.1715) + (xy 125.842031 57.169949) + (xy 125.828677 57.167834) + (xy 125.820848 57.166594) + (xy 125.774859 57.170941) + (xy 125.763004 57.1715) + (xy 125.543513 57.1715) + (xy 125.499284 57.163482) + (xy 125.412711 57.131027) + (xy 125.412709 57.131027) + (xy 125.405316 57.128255) + (xy 125.397468 57.127402) + (xy 125.397466 57.127402) + (xy 125.346531 57.121869) + (xy 125.343134 57.1215) + (xy 124.596866 57.1215) + (xy 124.534684 57.128255) + (xy 124.398295 57.179385) + (xy 124.281739 57.266739) + (xy 124.194385 57.383295) + (xy 124.143255 57.519684) + (xy 124.1365 57.581866) + (xy 124.1365 57.80534) + (xy 124.116498 57.873461) + (xy 124.062842 57.919954) + (xy 123.992568 57.930058) + (xy 123.927988 57.900564) + (xy 123.921405 57.894435) + (xy 123.770405 57.743435) + (xy 123.736379 57.681123) + (xy 123.7335 57.65434) + (xy 123.7335 57.451268) + (xy 123.722887 57.348981) + (xy 123.693773 57.261715) + (xy 123.671073 57.193676) + (xy 123.671072 57.193674) + (xy 123.668756 57.186732) + (xy 123.660551 57.173472) + (xy 123.582606 57.047515) + (xy 123.578752 57.041287) + (xy 123.457702 56.920448) + (xy 123.451471 56.916607) + (xy 123.318331 56.834538) + (xy 123.318329 56.834537) + (xy 123.312101 56.830698) + (xy 123.149757 56.776851) + (xy 123.14292 56.776151) + (xy 123.142918 56.77615) + (xy 123.101599 56.771917) + (xy 123.048732 56.7665) + (xy 122.451268 56.7665) + (xy 122.448022 56.766837) + (xy 122.448018 56.766837) + (xy 122.413917 56.770375) + (xy 122.348981 56.777113) + (xy 122.323411 56.785644) + (xy 122.252462 56.788228) + (xy 122.194441 56.755215) + (xy 121.958706 56.51948) + (xy 121.952852 56.513215) + (xy 121.939968 56.498446) + (xy 121.914817 56.469615) + (xy 121.908604 56.465248) + (xy 121.908599 56.465244) + (xy 121.895104 56.45576) + (xy 121.850871 56.400227) + (xy 121.843683 56.329595) + (xy 121.875823 56.26629) + (xy 121.932053 56.231776) + (xy 121.943124 56.228525) + (xy 121.944329 56.227135) + (xy 121.946 56.219452) + (xy 121.946 55.559615) + (xy 121.941525 55.544376) + (xy 121.940135 55.543171) + (xy 121.932452 55.5415) + (xy 121.235115 55.5415) + (xy 121.219876 55.545975) + (xy 121.218671 55.547365) + (xy 121.217158 55.554321) + (xy 121.217337 55.557782) + (xy 121.226804 55.649021) + (xy 121.229697 55.662417) + (xy 121.27883 55.809687) + (xy 121.285004 55.822866) + (xy 121.36647 55.954514) + (xy 121.375506 55.965915) + (xy 121.486111 56.076327) + (xy 121.52019 56.138609) + (xy 121.515187 56.209429) + (xy 121.47269 56.266302) + (xy 121.406191 56.291171) + (xy 121.397093 56.2915) + (xy 120.430321 56.2915) + (xy 120.3622 56.271498) + (xy 120.341226 56.254595) + (xy 120.242448 56.155817) + (xy 120.208422 56.093505) + (xy 120.213487 56.02269) + (xy 120.221826 56.006846) + (xy 120.221032 56.00642) + (xy 120.22462 55.999728) + (xy 120.22899 55.993511) + (xy 120.236603 55.973986) + (xy 120.252202 55.933975) + (xy 120.254759 55.927892) + (xy 120.277918 55.876602) + (xy 120.281045 55.869677) + (xy 120.282429 55.86221) + (xy 120.28323 55.859655) + (xy 120.287859 55.843402) + (xy 120.288522 55.840822) + (xy 120.291282 55.833741) + (xy 120.293051 55.820309) + (xy 120.298673 55.777598) + (xy 120.299622 55.770389) + (xy 120.300653 55.763882) + (xy 120.307746 55.725615) + (xy 120.312296 55.701064) + (xy 120.311077 55.679914) + (xy 120.308709 55.638858) + (xy 120.3085 55.631604) + (xy 120.3085 55.34566) + (xy 120.328502 55.277539) + (xy 120.345405 55.256565) + (xy 121.144065 54.457905) + (xy 121.206377 54.423879) + (xy 121.23316 54.421) + (xy 121.263964 54.421) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 125.556026 53.637167) + (xy 125.612818 53.679658) + (xy 125.656739 53.738261) + (xy 125.773295 53.825615) + (xy 125.909684 53.876745) + (xy 125.91699 53.877539) + (xy 125.978424 53.912635) + (xy 126.011244 53.97559) + (xy 126.004818 54.046295) + (xy 125.961186 54.102302) + (xy 125.91706 54.122454) + (xy 125.909684 54.123255) + (xy 125.773295 54.174385) + (xy 125.656739 54.261739) + (xy 125.642344 54.280947) + (xy 125.612315 54.321014) + (xy 125.555456 54.363529) + (xy 125.484638 54.368555) + (xy 125.422344 54.334495) + (xy 125.390593 54.280947) + (xy 125.383525 54.256876) + (xy 125.382135 54.255671) + (xy 125.374452 54.254) + (xy 125.27491 54.254) + (xy 125.206789 54.233998) + (xy 125.199345 54.228826) + (xy 125.173097 54.209154) + (xy 125.126705 54.174385) + (xy 124.990316 54.123255) + (xy 124.98301 54.122461) + (xy 124.921576 54.087365) + (xy 124.888756 54.02441) + (xy 124.895182 53.953705) + (xy 124.938814 53.897698) + (xy 124.98294 53.877546) + (xy 124.990316 53.876745) + (xy 125.126705 53.825615) + (xy 125.199346 53.771174) + (xy 125.265851 53.746326) + (xy 125.27491 53.746) + (xy 125.369884 53.746) + (xy 125.385123 53.741525) + (xy 125.386329 53.740133) + (xy 125.388873 53.72844) + (xy 125.422898 53.666127) + (xy 125.48521 53.632102) + ) + ) + ) + (zone (net 1) (net_name "GND") (layer "B.Cu") (tstamp 00000000-0000-0000-0000-00005f8df436) (hatch edge 0.508) + (connect_pads (clearance 0.508)) + (min_thickness 0.254) (filled_areas_thickness no) + (fill yes (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 107.05 49.975) + (xy 194.35 50.025) + (xy 194.35 109.275) + (xy 107.05 109.225) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 108.350225 50.628502) + (xy 108.396718 50.682158) + (xy 108.406822 50.752432) + (xy 108.377328 50.817012) + (xy 108.35907 50.834261) + (xy 108.336384 50.851764) + (xy 108.327917 50.863423) + (xy 108.33452 50.875309) + (xy 110.03719 52.57798) + (xy 110.051131 52.585592) + (xy 110.052966 52.585461) + (xy 110.05958 52.58121) + (xy 111.764559 50.87623) + (xy 111.771571 50.863389) + (xy 111.763777 50.852701) + (xy 111.739357 50.83345) + (xy 111.698244 50.775568) + (xy 111.69495 50.704648) + (xy 111.730522 50.643206) + (xy 111.793665 50.610749) + (xy 111.817363 50.6085) + (xy 189.486773 50.6085) + (xy 189.554894 50.628502) + (xy 189.601387 50.682158) + (xy 189.611491 50.752432) + (xy 189.588726 50.808538) + (xy 189.577917 50.823423) + (xy 189.58452 50.835309) + (xy 191.28719 52.53798) + (xy 191.301131 52.545592) + (xy 191.302966 52.545461) + (xy 191.30958 52.54121) + (xy 193.014559 50.83623) + (xy 193.021571 50.82339) + (xy 193.01089 50.808744) + (xy 192.986912 50.741919) + (xy 193.002868 50.672738) + (xy 193.053693 50.623167) + (xy 193.112693 50.6085) + (xy 193.537182 50.6085) + (xy 193.605303 50.628502) + (xy 193.626278 50.645405) + (xy 193.754596 50.773724) + (xy 193.788621 50.836036) + (xy 193.7915 50.862819) + (xy 193.7915 51.344491) + (xy 193.771498 51.412612) + (xy 193.717842 51.459105) + (xy 193.647568 51.469209) + (xy 193.582988 51.439715) + (xy 193.557553 51.40948) + (xy 193.537763 51.376609) + (xy 193.533481 51.370377) + (xy 193.397991 51.196647) + (xy 193.386199 51.188178) + (xy 193.374486 51.194725) + (xy 191.67202 52.89719) + (xy 191.664408 52.911131) + (xy 191.664539 52.912966) + (xy 191.66879 52.91958) + (xy 193.373285 54.624074) + (xy 193.386408 54.63124) + (xy 193.396709 54.623851) + (xy 193.500751 54.496055) + (xy 193.505159 54.489921) + (xy 193.558938 54.404686) + (xy 193.612205 54.357748) + (xy 193.682392 54.347059) + (xy 193.747217 54.376014) + (xy 193.786096 54.435418) + (xy 193.7915 54.471922) + (xy 193.7915 104.634491) + (xy 193.771498 104.702612) + (xy 193.717842 104.749105) + (xy 193.647568 104.759209) + (xy 193.582988 104.729715) + (xy 193.557553 104.69948) + (xy 193.537763 104.666609) + (xy 193.533481 104.660377) + (xy 193.397991 104.486647) + (xy 193.386199 104.478178) + (xy 193.374486 104.484725) + (xy 191.67202 106.18719) + (xy 191.664408 106.201131) + (xy 191.664539 106.202966) + (xy 191.66879 106.20958) + (xy 193.373285 107.914074) + (xy 193.386408 107.92124) + (xy 193.396709 107.913851) + (xy 193.500751 107.786055) + (xy 193.505159 107.779921) + (xy 193.558938 107.694686) + (xy 193.612205 107.647748) + (xy 193.682392 107.637059) + (xy 193.747217 107.666014) + (xy 193.786096 107.725418) + (xy 193.7915 107.761922) + (xy 193.7915 108.337183) + (xy 193.771498 108.405304) + (xy 193.754595 108.426278) + (xy 193.626278 108.554595) + (xy 193.563966 108.588621) + (xy 193.537183 108.5915) + (xy 193.002731 108.5915) + (xy 192.93461 108.571498) + (xy 192.888117 108.517842) + (xy 192.878013 108.447568) + (xy 192.907507 108.382988) + (xy 192.926814 108.364938) + (xy 193.014305 108.298889) + (xy 193.022761 108.287496) + (xy 193.016045 108.275256) + (xy 191.31281 106.57202) + (xy 191.298869 106.564408) + (xy 191.297034 106.564539) + (xy 191.29042 106.56879) + (xy 189.585818 108.273393) + (xy 189.578703 108.286423) + (xy 189.586228 108.296855) + (xy 189.673777 108.367373) + (xy 189.714282 108.425682) + (xy 189.716832 108.496632) + (xy 189.680619 108.557699) + (xy 189.617139 108.589493) + (xy 189.594739 108.5915) + (xy 111.752731 108.5915) + (xy 111.68461 108.571498) + (xy 111.638117 108.517842) + (xy 111.628013 108.447568) + (xy 111.657507 108.382988) + (xy 111.676814 108.364938) + (xy 111.764305 108.298889) + (xy 111.772761 108.287496) + (xy 111.766045 108.275256) + (xy 110.06281 106.57202) + (xy 110.048869 106.564408) + (xy 110.047034 106.564539) + (xy 110.04042 106.56879) + (xy 108.335818 108.273393) + (xy 108.328703 108.286423) + (xy 108.336228 108.296855) + (xy 108.423777 108.367373) + (xy 108.464282 108.425682) + (xy 108.466832 108.496632) + (xy 108.430619 108.557699) + (xy 108.367139 108.589493) + (xy 108.344739 108.5915) + (xy 107.862817 108.5915) + (xy 107.794696 108.571498) + (xy 107.773722 108.554595) + (xy 107.645405 108.426278) + (xy 107.611379 108.363966) + (xy 107.6085 108.337183) + (xy 107.6085 107.837331) + (xy 107.628502 107.76921) + (xy 107.682158 107.722717) + (xy 107.752432 107.712613) + (xy 107.817012 107.742107) + (xy 107.834663 107.760889) + (xy 107.951463 107.913934) + (xy 107.962989 107.922396) + (xy 107.975054 107.915735) + (xy 109.67798 106.21281) + (xy 109.684357 106.201131) + (xy 110.414408 106.201131) + (xy 110.414539 106.202966) + (xy 110.41879 106.20958) + (xy 112.123285 107.914074) + (xy 112.136408 107.92124) + (xy 112.146709 107.913851) + (xy 112.250751 107.786055) + (xy 112.255164 107.779914) + (xy 112.425349 107.510187) + (xy 112.429005 107.503536) + (xy 112.549121 107.25) + (xy 115.336496 107.25) + (xy 115.337186 107.256565) + (xy 115.355223 107.428173) + (xy 115.356458 107.439928) + (xy 115.415473 107.621556) + (xy 115.51096 107.786944) + (xy 115.515378 107.791851) + (xy 115.515379 107.791852) + (xy 115.632921 107.922396) + (xy 115.638747 107.928866) + (xy 115.793248 108.041118) + (xy 115.799276 108.043802) + (xy 115.799278 108.043803) + (xy 115.961681 108.116109) + (xy 115.967712 108.118794) + (xy 116.061112 108.138647) + (xy 116.148056 108.157128) + (xy 116.148061 108.157128) + (xy 116.154513 108.1585) + (xy 116.345487 108.1585) + (xy 116.351939 108.157128) + (xy 116.351944 108.157128) + (xy 116.438888 108.138647) + (xy 116.532288 108.118794) + (xy 116.538319 108.116109) + (xy 116.700722 108.043803) + (xy 116.700724 108.043802) + (xy 116.706752 108.041118) + (xy 116.787344 107.982564) + (xy 116.854211 107.958706) + (xy 116.861405 107.9585) + (xy 138.221088 107.9585) + (xy 138.229658 107.958792) + (xy 138.279776 107.962209) + (xy 138.27978 107.962209) + (xy 138.287352 107.962725) + (xy 138.294829 107.96142) + (xy 138.29483 107.96142) + (xy 138.321308 107.956799) + (xy 138.350303 107.951738) + (xy 138.356821 107.950777) + (xy 138.420242 107.943102) + (xy 138.427343 107.940419) + (xy 138.429952 107.939778) + (xy 138.446262 107.935315) + (xy 138.448798 107.93455) + (xy 138.456284 107.933243) + (xy 138.5148 107.907556) + (xy 138.520904 107.905065) + (xy 138.573548 107.885173) + (xy 138.573549 107.885172) + (xy 138.580656 107.882487) + (xy 138.586919 107.878183) + (xy 138.589285 107.876946) + (xy 138.604097 107.868701) + (xy 138.606351 107.867368) + (xy 138.613305 107.864315) + (xy 138.664002 107.825413) + (xy 138.669332 107.821541) + (xy 138.71572 107.789661) + (xy 138.715725 107.789656) + (xy 138.721981 107.785357) + (xy 138.736368 107.76921) + (xy 138.763435 107.73883) + (xy 138.768416 107.733554) + (xy 139.066533 107.435437) + (xy 139.129431 107.401285) + (xy 139.145589 107.39785) + (xy 139.282288 107.368794) + (xy 139.288319 107.366109) + (xy 139.450722 107.293803) + (xy 139.450724 107.293802) + (xy 139.456752 107.291118) + (xy 139.611253 107.178866) + (xy 139.712306 107.066635) + (xy 139.734621 107.041852) + (xy 139.734622 107.041851) + (xy 139.73904 107.036944) + (xy 139.834527 106.871556) + (xy 139.893542 106.689928) + (xy 139.905512 106.576045) + (xy 139.912814 106.506565) + (xy 139.913504 106.5) + (xy 139.901301 106.383891) + (xy 139.894232 106.316635) + (xy 139.894232 106.316633) + (xy 139.893542 106.310072) + (xy 139.849779 106.175383) + (xy 188.587388 106.175383) + (xy 188.603245 106.493914) + (xy 188.604076 106.501443) + (xy 188.658085 106.815759) + (xy 188.659818 106.823146) + (xy 188.751196 107.128695) + (xy 188.753799 107.135808) + (xy 188.881227 107.428173) + (xy 188.884669 107.434929) + (xy 189.046296 107.709865) + (xy 189.050519 107.71615) + (xy 189.201463 107.913934) + (xy 189.212989 107.922396) + (xy 189.225054 107.915735) + (xy 190.92798 106.21281) + (xy 190.935592 106.198869) + (xy 190.935461 106.197034) + (xy 190.93121 106.19042) + (xy 189.226445 104.485656) + (xy 189.21351 104.478592) + (xy 189.202949 104.486252) + (xy 189.082766 104.637072) + (xy 189.07841 104.64327) + (xy 188.911059 104.914764) + (xy 188.907479 104.92144) + (xy 188.773956 105.211074) + (xy 188.771206 105.218125) + (xy 188.673444 105.521708) + (xy 188.671561 105.529041) + (xy 188.610979 105.84217) + (xy 188.609992 105.84967) + (xy 188.587467 106.167802) + (xy 188.587388 106.175383) + (xy 139.849779 106.175383) + (xy 139.834527 106.128444) + (xy 139.73904 105.963056) + (xy 139.620326 105.83121) + (xy 139.615675 105.826045) + (xy 139.615674 105.826044) + (xy 139.611253 105.821134) + (xy 139.456752 105.708882) + (xy 139.450724 105.706198) + (xy 139.450722 105.706197) + (xy 139.288319 105.633891) + (xy 139.288318 105.633891) + (xy 139.282288 105.631206) + (xy 139.188887 105.611353) + (xy 139.101944 105.592872) + (xy 139.101939 105.592872) + (xy 139.095487 105.5915) + (xy 138.904513 105.5915) + (xy 138.898061 105.592872) + (xy 138.898056 105.592872) + (xy 138.811112 105.611353) + (xy 138.717712 105.631206) + (xy 138.711682 105.633891) + (xy 138.711681 105.633891) + (xy 138.549278 105.706197) + (xy 138.549276 105.706198) + (xy 138.543248 105.708882) + (xy 138.388747 105.821134) + (xy 138.384326 105.826044) + (xy 138.384325 105.826045) + (xy 138.379675 105.83121) + (xy 138.26096 105.963056) + (xy 138.165473 106.128444) + (xy 138.106458 106.310072) + (xy 138.105768 106.316635) + (xy 138.105768 106.316637) + (xy 138.10155 106.35677) + (xy 138.074537 106.422427) + (xy 138.065335 106.432695) + (xy 137.993435 106.504595) + (xy 137.931123 106.538621) + (xy 137.90434 106.5415) + (xy 116.861405 106.5415) + (xy 116.793284 106.521498) + (xy 116.787344 106.517436) + (xy 116.712094 106.462763) + (xy 116.712093 106.462762) + (xy 116.706752 106.458882) + (xy 116.700724 106.456198) + (xy 116.700722 106.456197) + (xy 116.538319 106.383891) + (xy 116.538318 106.383891) + (xy 116.532288 106.381206) + (xy 116.438887 106.361353) + (xy 116.351944 106.342872) + (xy 116.351939 106.342872) + (xy 116.345487 106.3415) + (xy 116.154513 106.3415) + (xy 116.148061 106.342872) + (xy 116.148056 106.342872) + (xy 116.061113 106.361353) + (xy 115.967712 106.381206) + (xy 115.961682 106.383891) + (xy 115.961681 106.383891) + (xy 115.799278 106.456197) + (xy 115.799276 106.456198) + (xy 115.793248 106.458882) + (xy 115.638747 106.571134) + (xy 115.634326 106.576044) + (xy 115.634325 106.576045) + (xy 115.531785 106.689928) + (xy 115.51096 106.713056) + (xy 115.415473 106.878444) + (xy 115.356458 107.060072) + (xy 115.355768 107.066633) + (xy 115.355768 107.066635) + (xy 115.343972 107.178866) + (xy 115.336496 107.25) + (xy 112.549121 107.25) + (xy 112.565544 107.215335) + (xy 112.568375 107.208295) + (xy 112.669306 106.905767) + (xy 112.67127 106.898433) + (xy 112.735122 106.585989) + (xy 112.736194 106.578465) + (xy 112.762173 106.259051) + (xy 112.762378 106.254576) + (xy 112.762927 106.202221) + (xy 112.762817 106.197789) + (xy 112.743529 105.877853) + (xy 112.742621 105.870351) + (xy 112.685319 105.556593) + (xy 112.683518 105.54926) + (xy 112.588935 105.244655) + (xy 112.586263 105.237583) + (xy 112.455781 104.94657) + (xy 112.452264 104.939843) + (xy 112.287771 104.666621) + (xy 112.283481 104.660377) + (xy 112.147991 104.486647) + (xy 112.136199 104.478178) + (xy 112.124486 104.484725) + (xy 110.42202 106.18719) + (xy 110.414408 106.201131) + (xy 109.684357 106.201131) + (xy 109.685592 106.198869) + (xy 109.685461 106.197034) + (xy 109.68121 106.19042) + (xy 107.976445 104.485656) + (xy 107.96351 104.478592) + (xy 107.952949 104.486252) + (xy 107.83304 104.636728) + (xy 107.774945 104.677537) + (xy 107.704008 104.68046) + (xy 107.642753 104.644567) + (xy 107.610626 104.581255) + (xy 107.6085 104.558205) + (xy 107.6085 104.113423) + (xy 108.327917 104.113423) + (xy 108.33452 104.125309) + (xy 110.03719 105.82798) + (xy 110.051131 105.835592) + (xy 110.052966 105.835461) + (xy 110.05958 105.83121) + (xy 111.764559 104.12623) + (xy 111.771571 104.113389) + (xy 111.763777 104.102701) + (xy 111.601298 103.974613) + (xy 111.595075 103.970288) + (xy 111.322702 103.804357) + (xy 111.316025 103.800822) + (xy 111.025686 103.668813) + (xy 111.018616 103.666099) + (xy 110.714537 103.569932) + (xy 110.707186 103.568085) + (xy 110.393746 103.509142) + (xy 110.386237 103.508194) + (xy 110.067989 103.487335) + (xy 110.060424 103.487295) + (xy 109.741964 103.504821) + (xy 109.73445 103.50569) + (xy 109.420405 103.561348) + (xy 109.413044 103.563115) + (xy 109.10798 103.656092) + (xy 109.10086 103.65874) + (xy 108.809182 103.78769) + (xy 108.802445 103.791167) + (xy 108.528355 103.954233) + (xy 108.522091 103.95849) + (xy 108.336385 104.101762) + (xy 108.327917 104.113423) + (xy 107.6085 104.113423) + (xy 107.6085 97) + (xy 108.28625 97) + (xy 108.2915 97.03988) + (xy 108.2915 97.039885) + (xy 108.299862 97.1034) + (xy 108.307162 97.158851) + (xy 108.368476 97.306876) + (xy 108.373506 97.313431) + (xy 108.377632 97.320578) + (xy 108.374874 97.32217) + (xy 108.394662 97.372261) + (xy 108.404163 97.46916) + (xy 108.461418 97.641273) + (xy 108.465065 97.647295) + (xy 108.465066 97.647297) + (xy 108.544056 97.777725) + (xy 108.55538 97.796424) + (xy 108.681382 97.926902) + (xy 108.833159 98.026222) + (xy 108.839763 98.028678) + (xy 108.839765 98.028679) + (xy 108.996558 98.08699) + (xy 108.99656 98.08699) + (xy 109.003168 98.089448) + (xy 109.086995 98.100633) + (xy 109.17598 98.112507) + (xy 109.175984 98.112507) + (xy 109.182961 98.113438) + (xy 109.189972 98.1128) + (xy 109.189976 98.1128) + (xy 109.332459 98.099832) + (xy 109.3636 98.096998) + (xy 109.370302 98.09482) + (xy 109.370304 98.09482) + (xy 109.529409 98.043124) + (xy 109.529412 98.043123) + (xy 109.536108 98.040947) + (xy 109.643266 97.977068) + (xy 109.68586 97.951677) + (xy 109.685862 97.951676) + (xy 109.691912 97.948069) + (xy 109.697011 97.943213) + (xy 109.697018 97.943208) + (xy 109.762745 97.880616) + (xy 109.825869 97.848123) + (xy 109.89654 97.854916) + (xy 109.940273 97.884333) + (xy 109.981382 97.926902) + (xy 110.133159 98.026222) + (xy 110.139763 98.028678) + (xy 110.139765 98.028679) + (xy 110.296558 98.08699) + (xy 110.29656 98.08699) + (xy 110.303168 98.089448) + (xy 110.386995 98.100633) + (xy 110.47598 98.112507) + (xy 110.475984 98.112507) + (xy 110.482961 98.113438) + (xy 110.489972 98.1128) + (xy 110.489976 98.1128) + (xy 110.632459 98.099832) + (xy 110.6636 98.096998) + (xy 110.670302 98.09482) + (xy 110.670304 98.09482) + (xy 110.829409 98.043124) + (xy 110.829412 98.043123) + (xy 110.836108 98.040947) + (xy 110.991912 97.948069) + (xy 111.011447 97.929466) + (xy 111.074572 97.896973) + (xy 111.145242 97.903766) + (xy 111.177631 97.923018) + (xy 111.181382 97.926902) + (xy 111.333159 98.026222) + (xy 111.339763 98.028678) + (xy 111.339765 98.028679) + (xy 111.496558 98.08699) + (xy 111.49656 98.08699) + (xy 111.503168 98.089448) + (xy 111.586995 98.100633) + (xy 111.67598 98.112507) + (xy 111.675984 98.112507) + (xy 111.682961 98.113438) + (xy 111.689972 98.1128) + (xy 111.689976 98.1128) + (xy 111.832459 98.099832) + (xy 111.8636 98.096998) + (xy 111.870302 98.09482) + (xy 111.870304 98.09482) + (xy 112.029409 98.043124) + (xy 112.029412 98.043123) + (xy 112.036108 98.040947) + (xy 112.143266 97.977068) + (xy 112.18586 97.951677) + (xy 112.185862 97.951676) + (xy 112.191912 97.948069) + (xy 112.323266 97.822982) + (xy 112.423643 97.671902) + (xy 112.426144 97.665317) + (xy 112.426147 97.665312) + (xy 112.433686 97.645466) + (xy 112.476576 97.588889) + (xy 112.543245 97.564481) + (xy 112.612526 97.579992) + (xy 112.640568 97.601117) + (xy 119.335685 104.296234) + (xy 119.346552 104.308625) + (xy 119.366013 104.333987) + (xy 119.372563 104.339013) + (xy 119.397925 104.358474) + (xy 119.397928 104.358477) + (xy 119.474341 104.417111) + (xy 119.493124 104.431524) + (xy 119.554438 104.456921) + (xy 119.63352 104.489678) + (xy 119.633523 104.489679) + (xy 119.64115 104.492838) + (xy 119.760115 104.5085) + (xy 119.76012 104.5085) + (xy 119.760129 104.508501) + (xy 119.791812 104.512672) + (xy 119.8 104.51375) + (xy 119.831693 104.509578) + (xy 119.848136 104.5085) + (xy 139.615699 104.5085) + (xy 139.684692 104.529068) + (xy 139.833159 104.626222) + (xy 139.839763 104.628678) + (xy 139.839765 104.628679) + (xy 139.996558 104.68699) + (xy 139.99656 104.68699) + (xy 140.003168 104.689448) + (xy 140.078353 104.69948) + (xy 140.17598 104.712507) + (xy 140.175984 104.712507) + (xy 140.182961 104.713438) + (xy 140.189972 104.7128) + (xy 140.189976 104.7128) + (xy 140.336328 104.69948) + (xy 140.3636 104.696998) + (xy 140.370302 104.69482) + (xy 140.370304 104.69482) + (xy 140.529409 104.643124) + (xy 140.529412 104.643123) + (xy 140.536108 104.640947) + (xy 140.691912 104.548069) + (xy 140.823266 104.422982) + (xy 140.923643 104.271902) + (xy 140.983844 104.113423) + (xy 189.577917 104.113423) + (xy 189.58452 104.125309) + (xy 191.28719 105.82798) + (xy 191.301131 105.835592) + (xy 191.302966 105.835461) + (xy 191.30958 105.83121) + (xy 193.014559 104.12623) + (xy 193.021571 104.113389) + (xy 193.013777 104.102701) + (xy 192.851298 103.974613) + (xy 192.845075 103.970288) + (xy 192.572702 103.804357) + (xy 192.566025 103.800822) + (xy 192.275686 103.668813) + (xy 192.268616 103.666099) + (xy 191.964537 103.569932) + (xy 191.957186 103.568085) + (xy 191.643746 103.509142) + (xy 191.636237 103.508194) + (xy 191.317989 103.487335) + (xy 191.310424 103.487295) + (xy 190.991964 103.504821) + (xy 190.98445 103.50569) + (xy 190.670405 103.561348) + (xy 190.663044 103.563115) + (xy 190.35798 103.656092) + (xy 190.35086 103.65874) + (xy 190.059182 103.78769) + (xy 190.052445 103.791167) + (xy 189.778355 103.954233) + (xy 189.772091 103.95849) + (xy 189.586385 104.101762) + (xy 189.577917 104.113423) + (xy 140.983844 104.113423) + (xy 140.988055 104.102338) + (xy 141.006006 103.974613) + (xy 141.012351 103.929464) + (xy 141.041639 103.86479) + (xy 141.101243 103.826217) + (xy 141.137125 103.821) + (xy 143.703199 103.821) + (xy 143.772192 103.841568) + (xy 143.896201 103.922717) + (xy 143.920659 103.938722) + (xy 143.927263 103.941178) + (xy 143.927265 103.941179) + (xy 144.084058 103.99949) + (xy 144.08406 103.99949) + (xy 144.090668 104.001948) + (xy 144.174495 104.013133) + (xy 144.26348 104.025007) + (xy 144.263484 104.025007) + (xy 144.270461 104.025938) + (xy 144.277472 104.0253) + (xy 144.277476 104.0253) + (xy 144.419959 104.012332) + (xy 144.4511 104.009498) + (xy 144.457802 104.00732) + (xy 144.457804 104.00732) + (xy 144.616909 103.955624) + (xy 144.616912 103.955623) + (xy 144.623608 103.953447) + (xy 144.720013 103.895978) + (xy 144.77336 103.864177) + (xy 144.773362 103.864176) + (xy 144.779412 103.860569) + (xy 144.910766 103.735482) + (xy 145.011143 103.584402) + (xy 145.075555 103.414838) + (xy 145.100799 103.235217) + (xy 145.101116 103.2125) + (xy 145.080897 103.032245) + (xy 145.021245 102.860948) + (xy 144.925126 102.707124) + (xy 144.920164 102.702127) + (xy 144.802278 102.583415) + (xy 144.802274 102.583412) + (xy 144.797315 102.578418) + (xy 144.786197 102.571362) + (xy 144.738038 102.5408) + (xy 144.644166 102.481227) + (xy 144.614963 102.470828) + (xy 144.479925 102.422743) + (xy 144.47992 102.422742) + (xy 144.47329 102.420381) + (xy 144.466302 102.419548) + (xy 144.466299 102.419547) + (xy 144.343198 102.404868) + (xy 144.29318 102.398904) + (xy 144.286177 102.39964) + (xy 144.286176 102.39964) + (xy 144.119788 102.417128) + (xy 144.119786 102.417129) + (xy 144.112788 102.417864) + (xy 143.941079 102.476318) + (xy 143.786588 102.571362) + (xy 143.781554 102.576291) + (xy 143.780276 102.57729) + (xy 143.714282 102.603467) + (xy 143.702704 102.604) + (xy 120.416739 102.604) + (xy 120.348618 102.583998) + (xy 120.327644 102.567095) + (xy 111.143965 93.383416) + (xy 111.109939 93.321104) + (xy 111.10706 93.294321) + (xy 111.10706 90.571799) + (xy 111.127062 90.503678) + (xy 111.180718 90.457185) + (xy 111.250992 90.447081) + (xy 111.315572 90.476575) + (xy 111.322155 90.482704) + (xy 111.735196 90.895745) + (xy 111.746063 90.908136) + (xy 111.765524 90.933498) + (xy 111.772074 90.938524) + (xy 111.797432 90.957982) + (xy 111.797448 90.957996) + (xy 111.846816 90.995877) + (xy 111.892635 91.031035) + (xy 112.04066 91.092349) + (xy 112.199511 91.113262) + (xy 112.23121 91.109089) + (xy 112.247655 91.108011) + (xy 113.452353 91.108011) + (xy 113.468796 91.109089) + (xy 113.500489 91.113261) + (xy 113.508678 91.112183) + (xy 113.540363 91.108012) + (xy 113.540373 91.108011) + (xy 113.540374 91.108011) + (xy 113.639946 91.094902) + (xy 113.651153 91.093427) + (xy 113.651155 91.093426) + (xy 113.65934 91.092349) + (xy 113.807365 91.031035) + (xy 113.892197 90.965941) + (xy 113.902558 90.957991) + (xy 113.902564 90.957985) + (xy 113.927923 90.938526) + (xy 113.934476 90.933498) + (xy 113.939506 90.926943) + (xy 113.953941 90.908132) + (xy 113.964808 90.895741) + (xy 114.496234 90.364315) + (xy 114.508625 90.353448) + (xy 114.527437 90.339013) + (xy 114.533987 90.333987) + (xy 114.558474 90.302075) + (xy 114.558478 90.302071) + (xy 114.631524 90.206876) + (xy 114.692838 90.058851) + (xy 114.713751 89.9) + (xy 114.709578 89.868301) + (xy 114.7085 89.851856) + (xy 114.7085 85.300354) + (xy 115.764475 85.300354) + (xy 115.767258 85.304073) + (xy 115.92168 85.361502) + (xy 115.935278 85.364892) + (xy 116.10099 85.387004) + (xy 116.114986 85.387297) + (xy 116.281477 85.372144) + (xy 116.295204 85.369327) + (xy 116.454202 85.317666) + (xy 116.466953 85.311881) + (xy 116.473769 85.307818) + (xy 116.48069 85.300354) + (xy 117.039475 85.300354) + (xy 117.042258 85.304073) + (xy 117.19668 85.361502) + (xy 117.210278 85.364892) + (xy 117.37599 85.387004) + (xy 117.389986 85.387297) + (xy 117.556477 85.372144) + (xy 117.570204 85.369327) + (xy 117.729202 85.317666) + (xy 117.741953 85.311881) + (xy 117.748769 85.307818) + (xy 117.75569 85.300354) + (xy 118.314475 85.300354) + (xy 118.317258 85.304073) + (xy 118.47168 85.361502) + (xy 118.485278 85.364892) + (xy 118.65099 85.387004) + (xy 118.664986 85.387297) + (xy 118.831477 85.372144) + (xy 118.845204 85.369327) + (xy 119.004202 85.317666) + (xy 119.016953 85.311881) + (xy 119.023769 85.307818) + (xy 119.033358 85.297476) + (xy 119.029852 85.289062) + (xy 118.687812 84.947022) + (xy 118.673868 84.939408) + (xy 118.672035 84.939539) + (xy 118.665421 84.94379) + (xy 118.321232 85.287979) + (xy 118.314475 85.300354) + (xy 117.75569 85.300354) + (xy 117.758358 85.297476) + (xy 117.754852 85.289062) + (xy 117.412812 84.947022) + (xy 117.398868 84.939408) + (xy 117.397035 84.939539) + (xy 117.390421 84.94379) + (xy 117.046232 85.287979) + (xy 117.039475 85.300354) + (xy 116.48069 85.300354) + (xy 116.483358 85.297476) + (xy 116.479852 85.289062) + (xy 116.137812 84.947022) + (xy 116.123868 84.939408) + (xy 116.122035 84.939539) + (xy 116.115421 84.94379) + (xy 115.771232 85.287979) + (xy 115.764475 85.300354) + (xy 114.7085 85.300354) + (xy 114.7085 84.57066) + (xy 115.312654 84.57066) + (xy 115.328968 84.737047) + (xy 115.331879 84.750741) + (xy 115.38465 84.909375) + (xy 115.390524 84.922088) + (xy 115.391768 84.924143) + (xy 115.402174 84.933657) + (xy 115.410794 84.929995) + (xy 115.752979 84.587811) + (xy 115.760592 84.573868) + (xy 115.760461 84.572034) + (xy 115.75621 84.565421) + (xy 115.412458 84.221669) + (xy 115.400083 84.214912) + (xy 115.394926 84.218772) + (xy 115.394273 84.220089) + (xy 115.337096 84.37718) + (xy 115.333803 84.390795) + (xy 115.31285 84.556653) + (xy 115.312654 84.57066) + (xy 114.7085 84.57066) + (xy 114.7085 83.938632) + (xy 115.851909 83.938632) + (xy 115.85204 83.940467) + (xy 115.856288 83.947077) + (xy 116.125 84.21579) + (xy 116.749689 84.840478) + (xy 116.763632 84.848091) + (xy 116.765467 84.84796) + (xy 116.772077 84.843712) + (xy 117.310905 84.304885) + (xy 117.373217 84.27086) + (xy 117.444033 84.275925) + (xy 117.489095 84.304885) + (xy 118.024692 84.840481) + (xy 118.03863 84.848092) + (xy 118.040466 84.84796) + (xy 118.047078 84.843711) + (xy 118.314657 84.576132) + (xy 119.039408 84.576132) + (xy 119.039539 84.577966) + (xy 119.04379 84.584579) + (xy 119.387504 84.928293) + (xy 119.399879 84.93505) + (xy 119.403696 84.932193) + (xy 119.460065 84.783802) + (xy 119.463549 84.770233) + (xy 119.487244 84.601627) + (xy 119.487851 84.593741) + (xy 119.488058 84.578962) + (xy 119.487671 84.571061) + (xy 119.468692 84.401855) + (xy 119.46559 84.388202) + (xy 119.41061 84.230322) + (xy 119.407281 84.223372) + (xy 119.398605 84.215659) + (xy 119.389558 84.219653) + (xy 119.047021 84.562189) + (xy 119.039408 84.576132) + (xy 118.314657 84.576132) + (xy 118.675 84.21579) + (xy 118.940478 83.950311) + (xy 118.948091 83.936368) + (xy 118.94796 83.934533) + (xy 118.94371 83.92792) + (xy 118.404885 83.389095) + (xy 118.370859 83.326783) + (xy 118.372694 83.301132) + (xy 119.039408 83.301132) + (xy 119.039539 83.302966) + (xy 119.04379 83.309579) + (xy 119.387504 83.653293) + (xy 119.399879 83.66005) + (xy 119.403696 83.657193) + (xy 119.460065 83.508802) + (xy 119.463549 83.495233) + (xy 119.487244 83.326627) + (xy 119.487851 83.318741) + (xy 119.488058 83.303962) + (xy 119.487671 83.296061) + (xy 119.468692 83.126855) + (xy 119.46559 83.113202) + (xy 119.41061 82.955322) + (xy 119.407281 82.948372) + (xy 119.398605 82.940659) + (xy 119.389558 82.944653) + (xy 119.047021 83.287189) + (xy 119.039408 83.301132) + (xy 118.372694 83.301132) + (xy 118.375924 83.255968) + (xy 118.404885 83.210905) + (xy 118.675 82.94079) + (xy 118.940477 82.675312) + (xy 118.948091 82.661368) + (xy 118.94796 82.659533) + (xy 118.943712 82.652923) + (xy 118.316922 82.026132) + (xy 119.039408 82.026132) + (xy 119.039539 82.027966) + (xy 119.04379 82.034579) + (xy 119.387504 82.378293) + (xy 119.399879 82.38505) + (xy 119.403696 82.382193) + (xy 119.460065 82.233802) + (xy 119.463549 82.220233) + (xy 119.487244 82.051627) + (xy 119.487851 82.043741) + (xy 119.488058 82.028962) + (xy 119.487671 82.021061) + (xy 119.468692 81.851855) + (xy 119.46559 81.838202) + (xy 119.41061 81.680322) + (xy 119.407281 81.673372) + (xy 119.398605 81.665659) + (xy 119.389558 81.669653) + (xy 119.047021 82.012189) + (xy 119.039408 82.026132) + (xy 118.316922 82.026132) + (xy 118.31579 82.025) + (xy 118.050311 81.759522) + (xy 118.036368 81.751909) + (xy 118.034533 81.75204) + (xy 118.027923 81.756288) + (xy 117.75921 82.025) + (xy 117.489095 82.295116) + (xy 117.426783 82.329141) + (xy 117.355967 82.324076) + (xy 117.310905 82.295115) + (xy 117.04079 82.025) + (xy 116.775312 81.759523) + (xy 116.761368 81.751909) + (xy 116.759533 81.75204) + (xy 116.752923 81.756288) + (xy 116.125 82.38421) + (xy 115.859522 82.649689) + (xy 115.851909 82.663632) + (xy 115.85204 82.665467) + (xy 115.85629 82.67208) + (xy 116.395115 83.210905) + (xy 116.429141 83.273217) + (xy 116.424076 83.344032) + (xy 116.395115 83.389095) + (xy 116.125 83.65921) + (xy 115.859523 83.924688) + (xy 115.851909 83.938632) + (xy 114.7085 83.938632) + (xy 114.7085 83.29566) + (xy 115.312654 83.29566) + (xy 115.328968 83.462047) + (xy 115.331879 83.475741) + (xy 115.38465 83.634375) + (xy 115.390524 83.647088) + (xy 115.391768 83.649143) + (xy 115.402174 83.658657) + (xy 115.410794 83.654995) + (xy 115.752979 83.312811) + (xy 115.760592 83.298868) + (xy 115.760461 83.297034) + (xy 115.75621 83.290421) + (xy 115.412458 82.946669) + (xy 115.400083 82.939912) + (xy 115.394926 82.943772) + (xy 115.394273 82.945089) + (xy 115.337096 83.10218) + (xy 115.333803 83.115795) + (xy 115.31285 83.281653) + (xy 115.312654 83.29566) + (xy 114.7085 83.29566) + (xy 114.7085 83.234251) + (xy 114.728502 83.16613) + (xy 114.745405 83.145156) + (xy 114.86124 83.029321) + (xy 114.873631 83.018454) + (xy 114.892443 83.004019) + (xy 114.898993 82.998993) + (xy 114.92348 82.967081) + (xy 114.923483 82.967078) + (xy 114.982529 82.890127) + (xy 114.986671 82.884729) + (xy 114.991504 82.878431) + (xy 114.991504 82.87843) + (xy 114.99653 82.871881) + (xy 115.006394 82.848069) + (xy 115.054684 82.731485) + (xy 115.057844 82.723856) + (xy 115.073506 82.604891) + (xy 115.073506 82.604884) + (xy 115.078756 82.565006) + (xy 115.074584 82.533313) + (xy 115.073506 82.51687) + (xy 115.073506 82.157906) + (xy 115.093508 82.089785) + (xy 115.147164 82.043292) + (xy 115.217438 82.033188) + (xy 115.282018 82.062682) + (xy 115.320402 82.122408) + (xy 115.324905 82.145611) + (xy 115.328968 82.187047) + (xy 115.331879 82.200741) + (xy 115.38465 82.359375) + (xy 115.390524 82.372088) + (xy 115.391768 82.374143) + (xy 115.402174 82.383657) + (xy 115.410794 82.379995) + (xy 116.125 81.66579) + (xy 116.477911 81.312878) + (xy 116.48396 81.301799) + (xy 117.041016 81.301799) + (xy 117.04484 81.310629) + (xy 117.387189 81.652979) + (xy 117.401132 81.660592) + (xy 117.402966 81.660461) + (xy 117.409579 81.65621) + (xy 117.752914 81.312875) + (xy 117.758962 81.301799) + (xy 118.316016 81.301799) + (xy 118.31984 81.310629) + (xy 118.662189 81.652979) + (xy 118.676132 81.660592) + (xy 118.677966 81.660461) + (xy 118.684579 81.65621) + (xy 119.027914 81.312875) + (xy 119.034671 81.3005) + (xy 119.030105 81.2944) + (xy 119.024802 81.291813) + (xy 118.867309 81.235732) + (xy 118.853685 81.232536) + (xy 118.68767 81.212741) + (xy 118.673673 81.212643) + (xy 118.507404 81.230118) + (xy 118.493725 81.233126) + (xy 118.335463 81.287002) + (xy 118.324855 81.291994) + (xy 118.316016 81.301799) + (xy 117.758962 81.301799) + (xy 117.759671 81.3005) + (xy 117.755105 81.2944) + (xy 117.749802 81.291813) + (xy 117.592309 81.235732) + (xy 117.578685 81.232536) + (xy 117.41267 81.212741) + (xy 117.398673 81.212643) + (xy 117.232404 81.230118) + (xy 117.218725 81.233126) + (xy 117.060463 81.287002) + (xy 117.049855 81.291994) + (xy 117.041016 81.301799) + (xy 116.48396 81.301799) + (xy 116.48467 81.300499) + (xy 116.480104 81.2944) + (xy 116.474802 81.291813) + (xy 116.317309 81.235732) + (xy 116.303684 81.232536) + (xy 116.225697 81.223237) + (xy 116.160424 81.19531) + (xy 116.120611 81.136526) + (xy 116.118899 81.06555) + (xy 116.151521 81.009028) + (xy 117.195272 79.965277) + (xy 117.207663 79.95441) + (xy 117.226475 79.939975) + (xy 117.233025 79.934949) + (xy 117.257512 79.903037) + (xy 117.257516 79.903033) + (xy 117.330562 79.807838) + (xy 117.391876 79.659813) + (xy 117.412789 79.500962) + (xy 117.408616 79.469263) + (xy 117.407538 79.452818) + (xy 117.407538 77.599336) + (xy 117.408616 77.58289) + (xy 117.410106 77.571576) + (xy 117.412788 77.5512) + (xy 117.407538 77.51132) + (xy 117.407538 77.511315) + (xy 117.394429 77.411743) + (xy 117.391876 77.392349) + (xy 117.342253 77.272549) + (xy 117.333722 77.251952) + (xy 117.333721 77.25195) + (xy 117.330562 77.244324) + (xy 117.257515 77.149129) + (xy 117.257514 77.149127) + (xy 117.238055 77.123767) + (xy 117.238051 77.123763) + (xy 117.233025 77.117213) + (xy 117.207656 77.097747) + (xy 117.195272 77.086884) + (xy 116.712643 76.604255) + (xy 116.701776 76.591865) + (xy 116.687341 76.573053) + (xy 116.68734 76.573052) + (xy 116.682314 76.566502) + (xy 116.650402 76.542015) + (xy 116.650399 76.542012) + (xy 116.555203 76.468965) + (xy 116.407178 76.407651) + (xy 116.398991 76.406573) + (xy 116.39899 76.406573) + (xy 116.387785 76.405098) + (xy 116.356589 76.400991) + (xy 116.288212 76.391989) + (xy 116.288209 76.391989) + (xy 116.288201 76.391988) + (xy 116.256516 76.387817) + (xy 116.248327 76.386739) + (xy 116.216634 76.390911) + (xy 116.200191 76.391989) + (xy 115.729927 76.391989) + (xy 115.669507 76.374436) + (xy 115.668944 76.37559) + (xy 115.66262 76.372506) + (xy 115.656666 76.368727) + (xy 115.627463 76.358328) + (xy 115.492425 76.310243) + (xy 115.49242 76.310242) + (xy 115.48579 76.307881) + (xy 115.478802 76.307048) + (xy 115.478799 76.307047) + (xy 115.355698 76.292368) + (xy 115.30568 76.286404) + (xy 115.298677 76.28714) + (xy 115.298676 76.28714) + (xy 115.132288 76.304628) + (xy 115.132286 76.304629) + (xy 115.125288 76.305364) + (xy 114.953579 76.363818) + (xy 114.90954 76.390911) + (xy 114.805095 76.455166) + (xy 114.805092 76.455168) + (xy 114.799088 76.458862) + (xy 114.794053 76.463793) + (xy 114.79405 76.463795) + (xy 114.68248 76.573053) + (xy 114.669493 76.585771) + (xy 114.571235 76.738238) + (xy 114.568824 76.744861) + (xy 114.568823 76.744864) + (xy 114.542006 76.818545) + (xy 114.499912 76.875717) + (xy 114.448261 76.896198) + (xy 114.448812 76.898706) + (xy 114.441933 76.900218) + (xy 114.434925 76.900955) + (xy 114.263216 76.959409) + (xy 114.257212 76.963103) + (xy 114.114732 77.050757) + (xy 114.114729 77.050759) + (xy 114.108725 77.054453) + (xy 114.10369 77.059384) + (xy 114.103687 77.059386) + (xy 114.037944 77.123767) + (xy 113.97913 77.181362) + (xy 113.975314 77.187284) + (xy 113.953509 77.221118) + (xy 113.899795 77.267543) + (xy 113.86077 77.278173) + (xy 113.788268 77.285793) + (xy 113.744118 77.290433) + (xy 113.744117 77.290433) + (xy 113.737117 77.291169) + (xy 113.565408 77.349623) + (xy 113.559404 77.353317) + (xy 113.416924 77.440971) + (xy 113.416921 77.440973) + (xy 113.410917 77.444667) + (xy 113.405882 77.449598) + (xy 113.405879 77.4496) + (xy 113.293768 77.559388) + (xy 113.281322 77.571576) + (xy 113.183064 77.724043) + (xy 113.135679 77.854233) + (xy 113.121898 77.892095) + (xy 113.079804 77.949266) + (xy 113.058666 77.962086) + (xy 113.053579 77.963818) + (xy 112.990376 78.002701) + (xy 112.905095 78.055166) + (xy 112.905092 78.055168) + (xy 112.899088 78.058862) + (xy 112.894053 78.063793) + (xy 112.89405 78.063795) + (xy 112.774525 78.180843) + (xy 112.769493 78.185771) + (xy 112.671235 78.338238) + (xy 112.668826 78.344858) + (xy 112.668824 78.344861) + (xy 112.654315 78.384725) + (xy 112.609197 78.508685) + (xy 112.608314 78.515675) + (xy 112.588024 78.676285) + (xy 112.559642 78.741362) + (xy 112.503623 78.779771) + (xy 112.410258 78.811555) + (xy 112.403587 78.813826) + (xy 112.397583 78.81752) + (xy 112.255098 78.905177) + (xy 112.255095 78.905179) + (xy 112.249096 78.90887) + (xy 112.244063 78.913799) + (xy 112.223519 78.933917) + (xy 112.18358 78.960302) + (xy 112.124618 78.984725) + (xy 112.050745 79.015324) + (xy 112.050743 79.015325) + (xy 112.043117 79.018484) + (xy 112.020578 79.035779) + (xy 111.94792 79.091531) + (xy 111.947917 79.091534) + (xy 111.916005 79.116021) + (xy 111.910975 79.122576) + (xy 111.89654 79.141387) + (xy 111.885673 79.153778) + (xy 108.503766 82.535685) + (xy 108.491375 82.546552) + (xy 108.466013 82.566013) + (xy 108.441526 82.597925) + (xy 108.441523 82.597928) + (xy 108.43618 82.604891) + (xy 108.382144 82.675312) + (xy 108.368476 82.693124) + (xy 108.307162 82.841149) + (xy 108.306251 82.848069) + (xy 108.306084 82.849337) + (xy 108.302911 82.873436) + (xy 108.2915 82.960115) + (xy 108.2915 82.96012) + (xy 108.28625 83) + (xy 108.28868 83.018454) + (xy 108.290422 83.03169) + (xy 108.2915 83.048136) + (xy 108.2915 96.951864) + (xy 108.290422 96.968307) + (xy 108.28625 97) + (xy 107.6085 97) + (xy 107.6085 72.5) + (xy 111.886496 72.5) + (xy 111.887186 72.506565) + (xy 111.903615 72.662874) + (xy 111.906458 72.689928) + (xy 111.965473 72.871556) + (xy 111.968776 72.877278) + (xy 111.968777 72.877279) + (xy 111.982344 72.900778) + (xy 112.06096 73.036944) + (xy 112.188747 73.178866) + (xy 112.343248 73.291118) + (xy 112.349276 73.293802) + (xy 112.349278 73.293803) + (xy 112.511681 73.366109) + (xy 112.517712 73.368794) + (xy 112.589415 73.384035) + (xy 112.698056 73.407128) + (xy 112.698061 73.407128) + (xy 112.704513 73.4085) + (xy 112.895487 73.4085) + (xy 112.901939 73.407128) + (xy 112.901944 73.407128) + (xy 113.010585 73.384035) + (xy 113.082288 73.368794) + (xy 113.088319 73.366109) + (xy 113.250722 73.293803) + (xy 113.250724 73.293802) + (xy 113.256752 73.291118) + (xy 113.411253 73.178866) + (xy 113.53904 73.036944) + (xy 113.617656 72.900778) + (xy 113.631223 72.877279) + (xy 113.631224 72.877278) + (xy 113.634527 72.871556) + (xy 113.693542 72.689928) + (xy 113.696386 72.662874) + (xy 113.712814 72.506565) + (xy 113.713504 72.5) + (xy 113.693542 72.310072) + (xy 113.634527 72.128444) + (xy 113.550733 71.983309) + (xy 113.533995 71.914314) + (xy 113.557215 71.847222) + (xy 113.570757 71.831213) + (xy 113.756567 71.645404) + (xy 113.818879 71.611379) + (xy 113.845662 71.6085) + (xy 121.421088 71.6085) + (xy 121.429658 71.608792) + (xy 121.479776 71.612209) + (xy 121.47978 71.612209) + (xy 121.487352 71.612725) + (xy 121.494829 71.61142) + (xy 121.49483 71.61142) + (xy 121.521308 71.606799) + (xy 121.550303 71.601738) + (xy 121.556821 71.600777) + (xy 121.620242 71.593102) + (xy 121.627343 71.590419) + (xy 121.629952 71.589778) + (xy 121.646262 71.585315) + (xy 121.648798 71.58455) + (xy 121.656284 71.583243) + (xy 121.7148 71.557556) + (xy 121.720904 71.555065) + (xy 121.740343 71.54772) + (xy 121.780656 71.532487) + (xy 121.786919 71.528183) + (xy 121.789285 71.526946) + (xy 121.804097 71.518701) + (xy 121.806351 71.517368) + (xy 121.813305 71.514315) + (xy 121.864002 71.475413) + (xy 121.869332 71.471541) + (xy 121.91572 71.439661) + (xy 121.915725 71.439656) + (xy 121.921981 71.435357) + (xy 121.963436 71.388829) + (xy 121.968416 71.383554) + (xy 122.241533 71.110437) + (xy 122.304431 71.076285) + (xy 122.320589 71.07285) + (xy 122.457288 71.043794) + (xy 122.494586 71.027188) + (xy 122.625722 70.968803) + (xy 122.625724 70.968802) + (xy 122.631752 70.966118) + (xy 122.786253 70.853866) + (xy 122.91404 70.711944) + (xy 122.972314 70.61101) + (xy 123.006223 70.552279) + (xy 123.006224 70.552278) + (xy 123.009527 70.546556) + (xy 123.068542 70.364928) + (xy 123.077664 70.278142) + (xy 123.087952 70.180252) + (xy 123.114965 70.114595) + (xy 123.173187 70.073965) + (xy 123.244132 70.071262) + (xy 123.302357 70.104327) + (xy 124.87855 71.68052) + (xy 124.884404 71.686785) + (xy 124.922439 71.730385) + (xy 124.928657 71.734755) + (xy 124.974697 71.767112) + (xy 124.979996 71.771047) + (xy 125.007685 71.792759) + (xy 125.048948 71.850533) + (xy 125.052426 71.921445) + (xy 125.011766 71.987722) + (xy 124.928682 72.058682) + (xy 124.77284 72.241151) + (xy 124.64746 72.445751) + (xy 124.555631 72.667447) + (xy 124.550234 72.689928) + (xy 124.500768 72.895965) + (xy 124.500767 72.895971) + (xy 124.499613 72.900778) + (xy 124.480786 73.14) + (xy 124.499613 73.379222) + (xy 124.500767 73.384029) + (xy 124.500768 73.384035) + (xy 124.506642 73.4085) + (xy 124.555631 73.612553) + (xy 124.557524 73.617124) + (xy 124.557525 73.617126) + (xy 124.602308 73.725241) + (xy 124.64746 73.834249) + (xy 124.77284 74.038849) + (xy 124.928682 74.221318) + (xy 125.111151 74.37716) + (xy 125.315751 74.50254) + (xy 125.320321 74.504433) + (xy 125.320323 74.504434) + (xy 125.323718 74.50584) + (xy 125.378999 74.550388) + (xy 125.4015 74.622249) + (xy 125.4015 83.190411) + (xy 125.381498 83.258532) + (xy 125.327842 83.305025) + (xy 125.257568 83.315129) + (xy 125.192988 83.285635) + (xy 125.160124 83.241051) + (xy 125.157559 83.235207) + (xy 125.155069 83.229105) + (xy 125.135173 83.176452) + (xy 125.135173 83.176451) + (xy 125.132487 83.169344) + (xy 125.128184 83.163083) + (xy 125.126947 83.160717) + (xy 125.11872 83.145937) + (xy 125.117369 83.143652) + (xy 125.114315 83.136695) + (xy 125.109695 83.130675) + (xy 125.109692 83.130669) + (xy 125.075421 83.086009) + (xy 125.071541 83.080668) + (xy 125.039661 83.03428) + (xy 125.039656 83.034275) + (xy 125.035357 83.028019) + (xy 125.024622 83.018454) + (xy 124.98883 82.986565) + (xy 124.983554 82.981584) + (xy 124.545405 82.543435) + (xy 124.511379 82.481123) + (xy 124.5085 82.45434) + (xy 124.5085 82.318744) + (xy 124.528502 82.250623) + (xy 124.532564 82.244683) + (xy 124.534621 82.241852) + (xy 124.53904 82.236944) + (xy 124.55861 82.203048) + (xy 124.631223 82.077279) + (xy 124.631224 82.077278) + (xy 124.634527 82.071556) + (xy 124.693542 81.889928) + (xy 124.701515 81.814074) + (xy 124.712814 81.706565) + (xy 124.713504 81.7) + (xy 124.693542 81.510072) + (xy 124.634527 81.328444) + (xy 124.624242 81.310629) + (xy 124.577758 81.230118) + (xy 124.53904 81.163056) + (xy 124.411253 81.021134) + (xy 124.256752 80.908882) + (xy 124.250724 80.906198) + (xy 124.250722 80.906197) + (xy 124.088319 80.833891) + (xy 124.088318 80.833891) + (xy 124.082288 80.831206) + (xy 123.962608 80.805767) + (xy 123.901944 80.792872) + (xy 123.901939 80.792872) + (xy 123.895487 80.7915) + (xy 123.704513 80.7915) + (xy 123.698061 80.792872) + (xy 123.698056 80.792872) + (xy 123.637392 80.805767) + (xy 123.517712 80.831206) + (xy 123.511682 80.833891) + (xy 123.511681 80.833891) + (xy 123.349278 80.906197) + (xy 123.349276 80.906198) + (xy 123.343248 80.908882) + (xy 123.188747 81.021134) + (xy 123.06096 81.163056) + (xy 123.022242 81.230118) + (xy 122.975759 81.310629) + (xy 122.965473 81.328444) + (xy 122.906458 81.510072) + (xy 122.886496 81.7) + (xy 122.887186 81.706565) + (xy 122.898486 81.814074) + (xy 122.906458 81.889928) + (xy 122.965473 82.071556) + (xy 122.968776 82.077278) + (xy 122.968777 82.077279) + (xy 122.992149 82.11776) + (xy 123.024674 82.174094) + (xy 123.038918 82.198766) + (xy 123.055656 82.267761) + (xy 123.032436 82.334853) + (xy 122.976629 82.37874) + (xy 122.972814 82.380122) + (xy 122.967712 82.381206) + (xy 122.961685 82.383889) + (xy 122.961686 82.383889) + (xy 122.799278 82.456197) + (xy 122.799276 82.456198) + (xy 122.793248 82.458882) + (xy 122.787907 82.462762) + (xy 122.787906 82.462763) + (xy 122.739467 82.497956) + (xy 122.638747 82.571134) + (xy 122.634326 82.576044) + (xy 122.634325 82.576045) + (xy 122.555462 82.663632) + (xy 122.51096 82.713056) + (xy 122.415473 82.878444) + (xy 122.356458 83.060072) + (xy 122.355768 83.066633) + (xy 122.355768 83.066635) + (xy 122.34588 83.160717) + (xy 122.336496 83.25) + (xy 122.356458 83.439928) + (xy 122.415473 83.621556) + (xy 122.418776 83.627278) + (xy 122.418777 83.627279) + (xy 122.451608 83.684144) + (xy 122.51096 83.786944) + (xy 122.515378 83.791851) + (xy 122.515379 83.791852) + (xy 122.634325 83.923955) + (xy 122.638747 83.928866) + (xy 122.793248 84.041118) + (xy 122.799276 84.043802) + (xy 122.799278 84.043803) + (xy 122.961679 84.116108) + (xy 122.967712 84.118794) + (xy 123.053101 84.136944) + (xy 123.148056 84.157128) + (xy 123.148061 84.157128) + (xy 123.154513 84.1585) + (xy 123.345487 84.1585) + (xy 123.351939 84.157128) + (xy 123.351944 84.157128) + (xy 123.446899 84.136944) + (xy 123.532288 84.118794) + (xy 123.538315 84.116111) + (xy 123.538323 84.116108) + (xy 123.614252 84.082302) + (xy 123.684619 84.072868) + (xy 123.748916 84.102975) + (xy 123.786729 84.163064) + (xy 123.7915 84.197409) + (xy 123.7915 85.65434) + (xy 123.771498 85.722461) + (xy 123.754595 85.743435) + (xy 120.243435 89.254595) + (xy 120.181123 89.288621) + (xy 120.15434 89.2915) + (xy 119.402088 89.2915) + (xy 119.333967 89.271498) + (xy 119.287474 89.217842) + (xy 119.282255 89.204437) + (xy 119.229431 89.041863) + (xy 119.22739 89.035581) + (xy 119.221714 89.025749) + (xy 119.184989 88.962141) + (xy 119.131903 88.870193) + (xy 119.004116 88.728271) + (xy 118.849615 88.616019) + (xy 118.843587 88.613335) + (xy 118.843585 88.613334) + (xy 118.681182 88.541028) + (xy 118.681181 88.541028) + (xy 118.675151 88.538343) + (xy 118.581751 88.51849) + (xy 118.494807 88.500009) + (xy 118.494802 88.500009) + (xy 118.48835 88.498637) + (xy 118.297376 88.498637) + (xy 118.290924 88.500009) + (xy 118.290919 88.500009) + (xy 118.203975 88.51849) + (xy 118.110575 88.538343) + (xy 118.104545 88.541028) + (xy 118.104544 88.541028) + (xy 117.942141 88.613334) + (xy 117.942139 88.613335) + (xy 117.936111 88.616019) + (xy 117.78161 88.728271) + (xy 117.653823 88.870193) + (xy 117.600737 88.962141) + (xy 117.564013 89.025749) + (xy 117.558336 89.035581) + (xy 117.499321 89.217209) + (xy 117.498631 89.22377) + (xy 117.498631 89.223772) + (xy 117.493615 89.271498) + (xy 117.479359 89.407137) + (xy 117.499321 89.597065) + (xy 117.558336 89.778693) + (xy 117.653823 89.944081) + (xy 117.78161 90.086003) + (xy 117.936111 90.198255) + (xy 117.942139 90.200939) + (xy 117.942141 90.20094) + (xy 118.067078 90.256565) + (xy 118.110575 90.275931) + (xy 118.233572 90.302075) + (xy 118.263432 90.308422) + (xy 118.32633 90.342574) + (xy 118.464276 90.48052) + (xy 118.47013 90.486785) + (xy 118.508165 90.530385) + (xy 118.514379 90.534752) + (xy 118.560445 90.567128) + (xy 118.56574 90.571061) + (xy 118.616008 90.610476) + (xy 118.622924 90.613599) + (xy 118.62521 90.614983) + (xy 118.639891 90.623357) + (xy 118.642251 90.624622) + (xy 118.648465 90.62899) + (xy 118.655544 90.63175) + (xy 118.655546 90.631751) + (xy 118.708001 90.652202) + (xy 118.71407 90.654753) + (xy 118.772299 90.681045) + (xy 118.779766 90.682429) + (xy 118.782321 90.68323) + (xy 118.798574 90.687859) + (xy 118.801154 90.688522) + (xy 118.808235 90.691282) + (xy 118.815766 90.692273) + (xy 118.815768 90.692274) + (xy 118.845387 90.696173) + (xy 118.871587 90.699622) + (xy 118.878085 90.700652) + (xy 118.940912 90.712296) + (xy 118.948492 90.711859) + (xy 118.948493 90.711859) + (xy 119.003118 90.708709) + (xy 119.010372 90.7085) + (xy 119.6655 90.7085) + (xy 119.733621 90.728502) + (xy 119.780114 90.782158) + (xy 119.7915 90.8345) + (xy 119.7915 96.96105) + (xy 119.771498 97.029171) + (xy 119.716749 97.076157) + (xy 119.649278 97.106197) + (xy 119.649276 97.106198) + (xy 119.643248 97.108882) + (xy 119.488747 97.221134) + (xy 119.484326 97.226044) + (xy 119.484325 97.226045) + (xy 119.411545 97.306876) + (xy 119.36096 97.363056) + (xy 119.307746 97.455225) + (xy 119.29193 97.48262) + (xy 119.265473 97.528444) + (xy 119.206458 97.710072) + (xy 119.205768 97.716633) + (xy 119.205768 97.716635) + (xy 119.198015 97.790401) + (xy 119.186496 97.9) + (xy 119.187186 97.906565) + (xy 119.200021 98.028679) + (xy 119.206458 98.089928) + (xy 119.265473 98.271556) + (xy 119.36096 98.436944) + (xy 119.488747 98.578866) + (xy 119.643248 98.691118) + (xy 119.649276 98.693802) + (xy 119.649278 98.693803) + (xy 119.750454 98.738849) + (xy 119.817712 98.768794) + (xy 119.911113 98.788647) + (xy 119.998056 98.807128) + (xy 119.998061 98.807128) + (xy 120.004513 98.8085) + (xy 120.195487 98.8085) + (xy 120.201939 98.807128) + (xy 120.201944 98.807128) + (xy 120.288888 98.788647) + (xy 120.382288 98.768794) + (xy 120.449546 98.738849) + (xy 120.550722 98.693803) + (xy 120.550724 98.693802) + (xy 120.556752 98.691118) + (xy 120.711253 98.578866) + (xy 120.83904 98.436944) + (xy 120.934527 98.271556) + (xy 120.993542 98.089928) + (xy 120.997927 98.048207) + (xy 121.028744 97.978992) + (xy 121.030385 97.977561) + (xy 121.067136 97.925271) + (xy 121.071028 97.920029) + (xy 121.110476 97.869718) + (xy 121.1136 97.862799) + (xy 121.114988 97.860507) + (xy 121.123357 97.845835) + (xy 121.124622 97.843475) + (xy 121.127065 97.84) + (xy 126.190786 97.84) + (xy 126.209613 98.079222) + (xy 126.210767 98.084029) + (xy 126.210768 98.084035) + (xy 126.246043 98.230963) + (xy 126.265631 98.312553) + (xy 126.267524 98.317124) + (xy 126.267525 98.317126) + (xy 126.314787 98.431226) + (xy 126.35746 98.534249) + (xy 126.48284 98.738849) + (xy 126.638682 98.921318) + (xy 126.821151 99.07716) + (xy 127.025751 99.20254) + (xy 127.030321 99.204433) + (xy 127.030323 99.204434) + (xy 127.242874 99.292475) + (xy 127.247447 99.294369) + (xy 127.329037 99.313957) + (xy 127.475965 99.349232) + (xy 127.475971 99.349233) + (xy 127.480778 99.350387) + (xy 127.72 99.369214) + (xy 127.959222 99.350387) + (xy 127.964029 99.349233) + (xy 127.964035 99.349232) + (xy 128.110963 99.313957) + (xy 128.192553 99.294369) + (xy 128.197126 99.292475) + (xy 128.409677 99.204434) + (xy 128.409679 99.204433) + (xy 128.414249 99.20254) + (xy 128.618849 99.07716) + (xy 128.801318 98.921318) + (xy 128.95716 98.738849) + (xy 129.08254 98.534249) + (xy 129.125214 98.431226) + (xy 129.172475 98.317126) + (xy 129.172476 98.317124) + (xy 129.174369 98.312553) + (xy 129.193957 98.230963) + (xy 129.229232 98.084035) + (xy 129.229233 98.084029) + (xy 129.230387 98.079222) + (xy 129.249214 97.84) + (xy 149.180786 97.84) + (xy 149.199613 98.079222) + (xy 149.200767 98.084029) + (xy 149.200768 98.084035) + (xy 149.236043 98.230963) + (xy 149.255631 98.312553) + (xy 149.257524 98.317124) + (xy 149.257525 98.317126) + (xy 149.304787 98.431226) + (xy 149.34746 98.534249) + (xy 149.47284 98.738849) + (xy 149.628682 98.921318) + (xy 149.811151 99.07716) + (xy 150.015751 99.20254) + (xy 150.020321 99.204433) + (xy 150.020323 99.204434) + (xy 150.232874 99.292475) + (xy 150.237447 99.294369) + (xy 150.319037 99.313957) + (xy 150.465965 99.349232) + (xy 150.465971 99.349233) + (xy 150.470778 99.350387) + (xy 150.71 99.369214) + (xy 150.949222 99.350387) + (xy 150.954029 99.349233) + (xy 150.954035 99.349232) + (xy 151.100963 99.313957) + (xy 151.182553 99.294369) + (xy 151.187126 99.292475) + (xy 151.399677 99.204434) + (xy 151.399679 99.204433) + (xy 151.404249 99.20254) + (xy 151.608849 99.07716) + (xy 151.791318 98.921318) + (xy 151.94716 98.738849) + (xy 152.07254 98.534249) + (xy 152.115214 98.431226) + (xy 152.162475 98.317126) + (xy 152.162476 98.317124) + (xy 152.164369 98.312553) + (xy 152.183957 98.230963) + (xy 152.219232 98.084035) + (xy 152.219233 98.084029) + (xy 152.220387 98.079222) + (xy 152.239214 97.84) + (xy 172.180786 97.84) + (xy 172.199613 98.079222) + (xy 172.200767 98.084029) + (xy 172.200768 98.084035) + (xy 172.236043 98.230963) + (xy 172.255631 98.312553) + (xy 172.257524 98.317124) + (xy 172.257525 98.317126) + (xy 172.304787 98.431226) + (xy 172.34746 98.534249) + (xy 172.47284 98.738849) + (xy 172.628682 98.921318) + (xy 172.811151 99.07716) + (xy 173.015751 99.20254) + (xy 173.020321 99.204433) + (xy 173.020323 99.204434) + (xy 173.232874 99.292475) + (xy 173.237447 99.294369) + (xy 173.319037 99.313957) + (xy 173.465965 99.349232) + (xy 173.465971 99.349233) + (xy 173.470778 99.350387) + (xy 173.71 99.369214) + (xy 173.949222 99.350387) + (xy 173.954029 99.349233) + (xy 173.954035 99.349232) + (xy 174.100963 99.313957) + (xy 174.182553 99.294369) + (xy 174.187126 99.292475) + (xy 174.399677 99.204434) + (xy 174.399679 99.204433) + (xy 174.404249 99.20254) + (xy 174.608849 99.07716) + (xy 174.791318 98.921318) + (xy 174.94716 98.738849) + (xy 175.07254 98.534249) + (xy 175.115214 98.431226) + (xy 175.162475 98.317126) + (xy 175.162476 98.317124) + (xy 175.164369 98.312553) + (xy 175.183957 98.230963) + (xy 175.219232 98.084035) + (xy 175.219233 98.084029) + (xy 175.220387 98.079222) + (xy 175.239214 97.84) + (xy 175.220387 97.600778) + (xy 175.219233 97.595971) + (xy 175.219232 97.595965) + (xy 175.183957 97.449037) + (xy 175.164369 97.367447) + (xy 175.145615 97.32217) + (xy 175.074434 97.150323) + (xy 175.074433 97.150321) + (xy 175.07254 97.145751) + (xy 174.973606 96.984306) + (xy 177.830524 96.984306) + (xy 177.836251 96.991956) + (xy 178.011759 97.099507) + (xy 178.020553 97.103988) + (xy 178.233029 97.191998) + (xy 178.242414 97.195047) + (xy 178.466044 97.248737) + (xy 178.475791 97.25028) + (xy 178.70507 97.268325) + (xy 178.71493 97.268325) + (xy 178.944209 97.25028) + (xy 178.953956 97.248737) + (xy 179.177586 97.195047) + (xy 179.186971 97.191998) + (xy 179.399447 97.103988) + (xy 179.408241 97.099507) + (xy 179.580083 96.994203) + (xy 179.589543 96.983747) + (xy 179.585759 96.974969) + (xy 178.722812 96.112022) + (xy 178.708868 96.104408) + (xy 178.707035 96.104539) + (xy 178.70042 96.10879) + (xy 177.837284 96.971926) + (xy 177.830524 96.984306) + (xy 174.973606 96.984306) + (xy 174.94716 96.941151) + (xy 174.791318 96.758682) + (xy 174.628406 96.619543) + (xy 174.612617 96.606058) + (xy 174.612616 96.606057) + (xy 174.608849 96.60284) + (xy 174.404249 96.47746) + (xy 174.399679 96.475567) + (xy 174.399677 96.475566) + (xy 174.187126 96.387525) + (xy 174.187124 96.387524) + (xy 174.182553 96.385631) + (xy 174.100963 96.366043) + (xy 173.954035 96.330768) + (xy 173.954029 96.330767) + (xy 173.949222 96.329613) + (xy 173.71 96.310786) + (xy 173.470778 96.329613) + (xy 173.465971 96.330767) + (xy 173.465965 96.330768) + (xy 173.319037 96.366043) + (xy 173.237447 96.385631) + (xy 173.232876 96.387524) + (xy 173.232874 96.387525) + (xy 173.020323 96.475566) + (xy 173.020321 96.475567) + (xy 173.015751 96.47746) + (xy 172.811151 96.60284) + (xy 172.807384 96.606057) + (xy 172.807383 96.606058) + (xy 172.791594 96.619543) + (xy 172.628682 96.758682) + (xy 172.47284 96.941151) + (xy 172.34746 97.145751) + (xy 172.345567 97.150321) + (xy 172.345566 97.150323) + (xy 172.274385 97.32217) + (xy 172.255631 97.367447) + (xy 172.236043 97.449037) + (xy 172.200768 97.595965) + (xy 172.200767 97.595971) + (xy 172.199613 97.600778) + (xy 172.180786 97.84) + (xy 152.239214 97.84) + (xy 152.220387 97.600778) + (xy 152.219233 97.595971) + (xy 152.219232 97.595965) + (xy 152.183957 97.449037) + (xy 152.164369 97.367447) + (xy 152.145615 97.32217) + (xy 152.074434 97.150323) + (xy 152.074433 97.150321) + (xy 152.07254 97.145751) + (xy 151.973606 96.984306) + (xy 154.830524 96.984306) + (xy 154.836251 96.991956) + (xy 155.011759 97.099507) + (xy 155.020553 97.103988) + (xy 155.233029 97.191998) + (xy 155.242414 97.195047) + (xy 155.466044 97.248737) + (xy 155.475791 97.25028) + (xy 155.70507 97.268325) + (xy 155.71493 97.268325) + (xy 155.944209 97.25028) + (xy 155.953956 97.248737) + (xy 156.177586 97.195047) + (xy 156.186971 97.191998) + (xy 156.399447 97.103988) + (xy 156.408241 97.099507) + (xy 156.580083 96.994203) + (xy 156.589543 96.983747) + (xy 156.585759 96.974969) + (xy 155.722812 96.112022) + (xy 155.708868 96.104408) + (xy 155.707035 96.104539) + (xy 155.70042 96.10879) + (xy 154.837284 96.971926) + (xy 154.830524 96.984306) + (xy 151.973606 96.984306) + (xy 151.94716 96.941151) + (xy 151.791318 96.758682) + (xy 151.628406 96.619543) + (xy 151.612617 96.606058) + (xy 151.612616 96.606057) + (xy 151.608849 96.60284) + (xy 151.404249 96.47746) + (xy 151.399679 96.475567) + (xy 151.399677 96.475566) + (xy 151.187126 96.387525) + (xy 151.187124 96.387524) + (xy 151.182553 96.385631) + (xy 151.100963 96.366043) + (xy 150.954035 96.330768) + (xy 150.954029 96.330767) + (xy 150.949222 96.329613) + (xy 150.71 96.310786) + (xy 150.470778 96.329613) + (xy 150.465971 96.330767) + (xy 150.465965 96.330768) + (xy 150.319037 96.366043) + (xy 150.237447 96.385631) + (xy 150.232876 96.387524) + (xy 150.232874 96.387525) + (xy 150.020323 96.475566) + (xy 150.020321 96.475567) + (xy 150.015751 96.47746) + (xy 149.811151 96.60284) + (xy 149.807384 96.606057) + (xy 149.807383 96.606058) + (xy 149.791594 96.619543) + (xy 149.628682 96.758682) + (xy 149.47284 96.941151) + (xy 149.34746 97.145751) + (xy 149.345567 97.150321) + (xy 149.345566 97.150323) + (xy 149.274385 97.32217) + (xy 149.255631 97.367447) + (xy 149.236043 97.449037) + (xy 149.200768 97.595965) + (xy 149.200767 97.595971) + (xy 149.199613 97.600778) + (xy 149.180786 97.84) + (xy 129.249214 97.84) + (xy 129.230387 97.600778) + (xy 129.229233 97.595971) + (xy 129.229232 97.595965) + (xy 129.193957 97.449037) + (xy 129.174369 97.367447) + (xy 129.155615 97.32217) + (xy 129.084434 97.150323) + (xy 129.084433 97.150321) + (xy 129.08254 97.145751) + (xy 128.983606 96.984306) + (xy 131.840524 96.984306) + (xy 131.846251 96.991956) + (xy 132.021759 97.099507) + (xy 132.030553 97.103988) + (xy 132.243029 97.191998) + (xy 132.252414 97.195047) + (xy 132.476044 97.248737) + (xy 132.485791 97.25028) + (xy 132.71507 97.268325) + (xy 132.72493 97.268325) + (xy 132.954209 97.25028) + (xy 132.963956 97.248737) + (xy 133.187586 97.195047) + (xy 133.196971 97.191998) + (xy 133.409447 97.103988) + (xy 133.418241 97.099507) + (xy 133.590083 96.994203) + (xy 133.599543 96.983747) + (xy 133.595759 96.974969) + (xy 132.732812 96.112022) + (xy 132.718868 96.104408) + (xy 132.717035 96.104539) + (xy 132.71042 96.10879) + (xy 131.847284 96.971926) + (xy 131.840524 96.984306) + (xy 128.983606 96.984306) + (xy 128.95716 96.941151) + (xy 128.801318 96.758682) + (xy 128.638406 96.619543) + (xy 128.622617 96.606058) + (xy 128.622616 96.606057) + (xy 128.618849 96.60284) + (xy 128.414249 96.47746) + (xy 128.409679 96.475567) + (xy 128.409677 96.475566) + (xy 128.197126 96.387525) + (xy 128.197124 96.387524) + (xy 128.192553 96.385631) + (xy 128.110963 96.366043) + (xy 127.964035 96.330768) + (xy 127.964029 96.330767) + (xy 127.959222 96.329613) + (xy 127.72 96.310786) + (xy 127.480778 96.329613) + (xy 127.475971 96.330767) + (xy 127.475965 96.330768) + (xy 127.329037 96.366043) + (xy 127.247447 96.385631) + (xy 127.242876 96.387524) + (xy 127.242874 96.387525) + (xy 127.030323 96.475566) + (xy 127.030321 96.475567) + (xy 127.025751 96.47746) + (xy 126.821151 96.60284) + (xy 126.817384 96.606057) + (xy 126.817383 96.606058) + (xy 126.801594 96.619543) + (xy 126.638682 96.758682) + (xy 126.48284 96.941151) + (xy 126.35746 97.145751) + (xy 126.355567 97.150321) + (xy 126.355566 97.150323) + (xy 126.284385 97.32217) + (xy 126.265631 97.367447) + (xy 126.246043 97.449037) + (xy 126.210768 97.595965) + (xy 126.210767 97.595971) + (xy 126.209613 97.600778) + (xy 126.190786 97.84) + (xy 121.127065 97.84) + (xy 121.12899 97.837261) + (xy 121.152203 97.777723) + (xy 121.154759 97.771642) + (xy 121.177918 97.720352) + (xy 121.181045 97.713427) + (xy 121.18243 97.705954) + (xy 121.183234 97.703388) + (xy 121.187855 97.687165) + (xy 121.18852 97.684573) + (xy 121.191282 97.677491) + (xy 121.199622 97.614139) + (xy 121.200654 97.607623) + (xy 121.210911 97.552281) + (xy 121.212295 97.544814) + (xy 121.208709 97.48262) + (xy 121.2085 97.475367) + (xy 121.2085 95.74493) + (xy 131.191675 95.74493) + (xy 131.20972 95.974209) + (xy 131.211263 95.983956) + (xy 131.264953 96.207586) + (xy 131.268002 96.216971) + (xy 131.356012 96.429447) + (xy 131.360493 96.438241) + (xy 131.465797 96.610083) + (xy 131.476253 96.619543) + (xy 131.485031 96.615759) + (xy 132.347978 95.752812) + (xy 132.354356 95.741132) + (xy 133.084408 95.741132) + (xy 133.084539 95.742965) + (xy 133.08879 95.74958) + (xy 133.951926 96.612716) + (xy 133.964306 96.619476) + (xy 133.971956 96.613749) + (xy 134.079507 96.438241) + (xy 134.083988 96.429447) + (xy 134.171998 96.216971) + (xy 134.175047 96.207586) + (xy 134.228737 95.983956) + (xy 134.23028 95.974209) + (xy 134.248325 95.74493) + (xy 154.181675 95.74493) + (xy 154.19972 95.974209) + (xy 154.201263 95.983956) + (xy 154.254953 96.207586) + (xy 154.258002 96.216971) + (xy 154.346012 96.429447) + (xy 154.350493 96.438241) + (xy 154.455797 96.610083) + (xy 154.466253 96.619543) + (xy 154.475031 96.615759) + (xy 155.337978 95.752812) + (xy 155.344356 95.741132) + (xy 156.074408 95.741132) + (xy 156.074539 95.742965) + (xy 156.07879 95.74958) + (xy 156.941926 96.612716) + (xy 156.954306 96.619476) + (xy 156.961956 96.613749) + (xy 157.069507 96.438241) + (xy 157.073988 96.429447) + (xy 157.161998 96.216971) + (xy 157.165047 96.207586) + (xy 157.218737 95.983956) + (xy 157.22028 95.974209) + (xy 157.238325 95.74493) + (xy 177.181675 95.74493) + (xy 177.19972 95.974209) + (xy 177.201263 95.983956) + (xy 177.254953 96.207586) + (xy 177.258002 96.216971) + (xy 177.346012 96.429447) + (xy 177.350493 96.438241) + (xy 177.455797 96.610083) + (xy 177.466253 96.619543) + (xy 177.475031 96.615759) + (xy 178.337978 95.752812) + (xy 178.344356 95.741132) + (xy 179.074408 95.741132) + (xy 179.074539 95.742965) + (xy 179.07879 95.74958) + (xy 179.941926 96.612716) + (xy 179.954306 96.619476) + (xy 179.961956 96.613749) + (xy 180.069507 96.438241) + (xy 180.073988 96.429447) + (xy 180.161998 96.216971) + (xy 180.165047 96.207586) + (xy 180.218737 95.983956) + (xy 180.22028 95.974209) + (xy 180.238325 95.74493) + (xy 180.238325 95.73507) + (xy 180.22028 95.505791) + (xy 180.218737 95.496044) + (xy 180.165047 95.272414) + (xy 180.161998 95.263029) + (xy 180.073988 95.050553) + (xy 180.069507 95.041759) + (xy 179.964203 94.869917) + (xy 179.953747 94.860457) + (xy 179.944969 94.864241) + (xy 179.082022 95.727188) + (xy 179.074408 95.741132) + (xy 178.344356 95.741132) + (xy 178.345592 95.738868) + (xy 178.345461 95.737035) + (xy 178.34121 95.73042) + (xy 177.478074 94.867284) + (xy 177.465694 94.860524) + (xy 177.458044 94.866251) + (xy 177.350493 95.041759) + (xy 177.346012 95.050553) + (xy 177.258002 95.263029) + (xy 177.254953 95.272414) + (xy 177.201263 95.496044) + (xy 177.19972 95.505791) + (xy 177.181675 95.73507) + (xy 177.181675 95.74493) + (xy 157.238325 95.74493) + (xy 157.238325 95.73507) + (xy 157.22028 95.505791) + (xy 157.218737 95.496044) + (xy 157.165047 95.272414) + (xy 157.161998 95.263029) + (xy 157.073988 95.050553) + (xy 157.069507 95.041759) + (xy 156.964203 94.869917) + (xy 156.953747 94.860457) + (xy 156.944969 94.864241) + (xy 156.082022 95.727188) + (xy 156.074408 95.741132) + (xy 155.344356 95.741132) + (xy 155.345592 95.738868) + (xy 155.345461 95.737035) + (xy 155.34121 95.73042) + (xy 154.478074 94.867284) + (xy 154.465694 94.860524) + (xy 154.458044 94.866251) + (xy 154.350493 95.041759) + (xy 154.346012 95.050553) + (xy 154.258002 95.263029) + (xy 154.254953 95.272414) + (xy 154.201263 95.496044) + (xy 154.19972 95.505791) + (xy 154.181675 95.73507) + (xy 154.181675 95.74493) + (xy 134.248325 95.74493) + (xy 134.248325 95.73507) + (xy 134.23028 95.505791) + (xy 134.228737 95.496044) + (xy 134.175047 95.272414) + (xy 134.171998 95.263029) + (xy 134.083988 95.050553) + (xy 134.079507 95.041759) + (xy 133.974203 94.869917) + (xy 133.963747 94.860457) + (xy 133.954969 94.864241) + (xy 133.092022 95.727188) + (xy 133.084408 95.741132) + (xy 132.354356 95.741132) + (xy 132.355592 95.738868) + (xy 132.355461 95.737035) + (xy 132.35121 95.73042) + (xy 131.488074 94.867284) + (xy 131.475694 94.860524) + (xy 131.468044 94.866251) + (xy 131.360493 95.041759) + (xy 131.356012 95.050553) + (xy 131.268002 95.263029) + (xy 131.264953 95.272414) + (xy 131.211263 95.496044) + (xy 131.20972 95.505791) + (xy 131.191675 95.73507) + (xy 131.191675 95.74493) + (xy 121.2085 95.74493) + (xy 121.2085 94.496253) + (xy 131.840457 94.496253) + (xy 131.844241 94.505031) + (xy 132.707188 95.367978) + (xy 132.721132 95.375592) + (xy 132.722965 95.375461) + (xy 132.72958 95.37121) + (xy 133.592716 94.508074) + (xy 133.599171 94.496253) + (xy 154.830457 94.496253) + (xy 154.834241 94.505031) + (xy 155.697188 95.367978) + (xy 155.711132 95.375592) + (xy 155.712965 95.375461) + (xy 155.71958 95.37121) + (xy 156.582716 94.508074) + (xy 156.589171 94.496253) + (xy 177.830457 94.496253) + (xy 177.834241 94.505031) + (xy 178.697188 95.367978) + (xy 178.711132 95.375592) + (xy 178.712965 95.375461) + (xy 178.71958 95.37121) + (xy 179.582716 94.508074) + (xy 179.589476 94.495694) + (xy 179.583749 94.488044) + (xy 179.408241 94.380493) + (xy 179.399447 94.376012) + (xy 179.186971 94.288002) + (xy 179.177586 94.284953) + (xy 178.953956 94.231263) + (xy 178.944209 94.22972) + (xy 178.71493 94.211675) + (xy 178.70507 94.211675) + (xy 178.475791 94.22972) + (xy 178.466044 94.231263) + (xy 178.242414 94.284953) + (xy 178.233029 94.288002) + (xy 178.020553 94.376012) + (xy 178.011759 94.380493) + (xy 177.839917 94.485797) + (xy 177.830457 94.496253) + (xy 156.589171 94.496253) + (xy 156.589476 94.495694) + (xy 156.583749 94.488044) + (xy 156.408241 94.380493) + (xy 156.399447 94.376012) + (xy 156.186971 94.288002) + (xy 156.177586 94.284953) + (xy 155.953956 94.231263) + (xy 155.944209 94.22972) + (xy 155.71493 94.211675) + (xy 155.70507 94.211675) + (xy 155.475791 94.22972) + (xy 155.466044 94.231263) + (xy 155.242414 94.284953) + (xy 155.233029 94.288002) + (xy 155.020553 94.376012) + (xy 155.011759 94.380493) + (xy 154.839917 94.485797) + (xy 154.830457 94.496253) + (xy 133.599171 94.496253) + (xy 133.599476 94.495694) + (xy 133.593749 94.488044) + (xy 133.418241 94.380493) + (xy 133.409447 94.376012) + (xy 133.196971 94.288002) + (xy 133.187586 94.284953) + (xy 132.963956 94.231263) + (xy 132.954209 94.22972) + (xy 132.72493 94.211675) + (xy 132.71507 94.211675) + (xy 132.485791 94.22972) + (xy 132.476044 94.231263) + (xy 132.252414 94.284953) + (xy 132.243029 94.288002) + (xy 132.030553 94.376012) + (xy 132.021759 94.380493) + (xy 131.849917 94.485797) + (xy 131.840457 94.496253) + (xy 121.2085 94.496253) + (xy 121.2085 93.134865) + (xy 121.228502 93.066744) + (xy 121.282158 93.020251) + (xy 121.352432 93.010147) + (xy 121.414391 93.037431) + (xy 121.443115 93.060984) + (xy 121.443121 93.060988) + (xy 121.447243 93.064368) + (xy 121.647735 93.178494) + (xy 121.652751 93.180315) + (xy 121.652756 93.180317) + (xy 121.859575 93.255389) + (xy 121.859579 93.25539) + (xy 121.86459 93.257209) + (xy 121.869839 93.258158) + (xy 121.869842 93.258159) + (xy 122.087523 93.297522) + (xy 122.08753 93.297523) + (xy 122.091607 93.29826) + (xy 122.109344 93.299096) + (xy 122.114292 93.29933) + (xy 122.114299 93.29933) + (xy 122.11578 93.2994) + (xy 122.277925 93.2994) + (xy 122.344881 93.293719) + (xy 122.444562 93.285261) + (xy 122.444566 93.28526) + (xy 122.449873 93.28481) + (xy 122.455028 93.283472) + (xy 122.455034 93.283471) + (xy 122.668003 93.228195) + (xy 122.668007 93.228194) + (xy 122.673172 93.226853) + (xy 122.678038 93.224661) + (xy 122.678041 93.22466) + (xy 122.878649 93.134293) + (xy 122.883515 93.132101) + (xy 122.887935 93.129125) + (xy 122.887939 93.129123) + (xy 123.034503 93.030449) + (xy 123.074885 93.003262) + (xy 123.241812 92.844022) + (xy 123.379521 92.658934) + (xy 123.434305 92.551183) + (xy 123.481658 92.458046) + (xy 123.481658 92.458045) + (xy 123.484077 92.453288) + (xy 123.537621 92.280849) + (xy 123.550905 92.23807) + (xy 123.550906 92.238064) + (xy 123.552489 92.232967) + (xy 123.5828 92.004268) + (xy 123.582139 91.986659) + (xy 125.492514 91.986659) + (xy 125.492877 91.990807) + (xy 125.492877 91.990811) + (xy 125.494517 92.009553) + (xy 125.518252 92.280849) + (xy 125.519162 92.284921) + (xy 125.519163 92.284926) + (xy 125.577659 92.546622) + (xy 125.582672 92.56905) + (xy 125.684644 92.846199) + (xy 125.686591 92.849892) + (xy 125.686592 92.849894) + (xy 125.722549 92.918092) + (xy 125.822374 93.107427) + (xy 125.824794 93.110832) + (xy 125.991019 93.344735) + (xy 125.991024 93.344741) + (xy 125.993443 93.348145) + (xy 125.996287 93.351195) + (xy 125.996292 93.351201) + (xy 126.131003 93.495661) + (xy 126.194846 93.564124) + (xy 126.423045 93.751568) + (xy 126.674029 93.907185) + (xy 126.94339 94.028241) + (xy 127.226395 94.112608) + (xy 127.230515 94.113261) + (xy 127.230517 94.113261) + (xy 127.514592 94.158255) + (xy 127.514598 94.158256) + (xy 127.518073 94.158806) + (xy 127.542632 94.159921) + (xy 127.609017 94.162936) + (xy 127.609038 94.162936) + (xy 127.610437 94.163) + (xy 127.794901 94.163) + (xy 128.014664 94.148403) + (xy 128.018763 94.147577) + (xy 128.018767 94.147576) + (xy 128.19219 94.112608) + (xy 128.304151 94.090033) + (xy 128.583375 93.993888) + (xy 128.753089 93.908902) + (xy 128.843695 93.86353) + (xy 128.843697 93.863529) + (xy 128.847431 93.861659) + (xy 129.091678 93.695668) + (xy 129.311827 93.498832) + (xy 129.410751 93.383416) + (xy 129.501289 93.277784) + (xy 129.501292 93.27778) + (xy 129.504009 93.27461) + (xy 129.506283 93.271108) + (xy 129.506287 93.271103) + (xy 129.66257 93.030449) + (xy 129.662573 93.030444) + (xy 129.664849 93.026939) + (xy 129.672823 93.010147) + (xy 129.789723 92.763954) + (xy 129.791519 92.760172) + (xy 129.861676 92.541661) + (xy 129.880515 92.482983) + (xy 129.880515 92.482982) + (xy 129.881795 92.478996) + (xy 129.909174 92.326831) + (xy 129.933351 92.192459) + (xy 129.933352 92.192454) + (xy 129.93409 92.18835) + (xy 129.937581 92.111486) + (xy 129.947297 91.897511) + (xy 129.947297 91.897506) + (xy 129.947486 91.893341) + (xy 129.945946 91.875732) + (xy 131.8572 91.875732) + (xy 131.8574 91.881062) + (xy 131.8574 91.881063) + (xy 131.861364 91.986659) + (xy 131.865854 92.106268) + (xy 131.913228 92.33205) + (xy 131.997967 92.546622) + (xy 132.117647 92.743849) + (xy 132.121144 92.747879) + (xy 132.207768 92.847704) + (xy 132.268847 92.918092) + (xy 132.272978 92.921479) + (xy 132.443115 93.060984) + (xy 132.443121 93.060988) + (xy 132.447243 93.064368) + (xy 132.647735 93.178494) + (xy 132.652751 93.180315) + (xy 132.652756 93.180317) + (xy 132.859575 93.255389) + (xy 132.859579 93.25539) + (xy 132.86459 93.257209) + (xy 132.869839 93.258158) + (xy 132.869842 93.258159) + (xy 133.087523 93.297522) + (xy 133.08753 93.297523) + (xy 133.091607 93.29826) + (xy 133.109344 93.299096) + (xy 133.114292 93.29933) + (xy 133.114299 93.29933) + (xy 133.11578 93.2994) + (xy 133.277925 93.2994) + (xy 133.344881 93.293719) + (xy 133.444562 93.285261) + (xy 133.444566 93.28526) + (xy 133.449873 93.28481) + (xy 133.455028 93.283472) + (xy 133.455034 93.283471) + (xy 133.668003 93.228195) + (xy 133.668007 93.228194) + (xy 133.673172 93.226853) + (xy 133.678038 93.224661) + (xy 133.678041 93.22466) + (xy 133.878649 93.134293) + (xy 133.883515 93.132101) + (xy 133.887935 93.129125) + (xy 133.887939 93.129123) + (xy 134.034503 93.030449) + (xy 134.074885 93.003262) + (xy 134.241812 92.844022) + (xy 134.379521 92.658934) + (xy 134.434305 92.551183) + (xy 134.481658 92.458046) + (xy 134.481658 92.458045) + (xy 134.484077 92.453288) + (xy 134.537621 92.280849) + (xy 134.550905 92.23807) + (xy 134.550906 92.238064) + (xy 134.552489 92.232967) + (xy 134.5828 92.004268) + (xy 134.581983 91.982489) + (xy 134.577975 91.875732) + (xy 143.8472 91.875732) + (xy 143.8474 91.881062) + (xy 143.8474 91.881063) + (xy 143.851364 91.986659) + (xy 143.855854 92.106268) + (xy 143.903228 92.33205) + (xy 143.987967 92.546622) + (xy 144.107647 92.743849) + (xy 144.111144 92.747879) + (xy 144.197768 92.847704) + (xy 144.258847 92.918092) + (xy 144.262978 92.921479) + (xy 144.433115 93.060984) + (xy 144.433121 93.060988) + (xy 144.437243 93.064368) + (xy 144.637735 93.178494) + (xy 144.642751 93.180315) + (xy 144.642756 93.180317) + (xy 144.849575 93.255389) + (xy 144.849579 93.25539) + (xy 144.85459 93.257209) + (xy 144.859839 93.258158) + (xy 144.859842 93.258159) + (xy 145.077523 93.297522) + (xy 145.07753 93.297523) + (xy 145.081607 93.29826) + (xy 145.099344 93.299096) + (xy 145.104292 93.29933) + (xy 145.104299 93.29933) + (xy 145.10578 93.2994) + (xy 145.267925 93.2994) + (xy 145.334881 93.293719) + (xy 145.434562 93.285261) + (xy 145.434566 93.28526) + (xy 145.439873 93.28481) + (xy 145.445028 93.283472) + (xy 145.445034 93.283471) + (xy 145.658003 93.228195) + (xy 145.658007 93.228194) + (xy 145.663172 93.226853) + (xy 145.668038 93.224661) + (xy 145.668041 93.22466) + (xy 145.868649 93.134293) + (xy 145.873515 93.132101) + (xy 145.877935 93.129125) + (xy 145.877939 93.129123) + (xy 146.024503 93.030449) + (xy 146.064885 93.003262) + (xy 146.231812 92.844022) + (xy 146.369521 92.658934) + (xy 146.424305 92.551183) + (xy 146.471658 92.458046) + (xy 146.471658 92.458045) + (xy 146.474077 92.453288) + (xy 146.527621 92.280849) + (xy 146.540905 92.23807) + (xy 146.540906 92.238064) + (xy 146.542489 92.232967) + (xy 146.5728 92.004268) + (xy 146.572139 91.986659) + (xy 148.482514 91.986659) + (xy 148.482877 91.990807) + (xy 148.482877 91.990811) + (xy 148.484517 92.009553) + (xy 148.508252 92.280849) + (xy 148.509162 92.284921) + (xy 148.509163 92.284926) + (xy 148.567659 92.546622) + (xy 148.572672 92.56905) + (xy 148.674644 92.846199) + (xy 148.676591 92.849892) + (xy 148.676592 92.849894) + (xy 148.712549 92.918092) + (xy 148.812374 93.107427) + (xy 148.814794 93.110832) + (xy 148.981019 93.344735) + (xy 148.981024 93.344741) + (xy 148.983443 93.348145) + (xy 148.986287 93.351195) + (xy 148.986292 93.351201) + (xy 149.121003 93.495661) + (xy 149.184846 93.564124) + (xy 149.413045 93.751568) + (xy 149.664029 93.907185) + (xy 149.93339 94.028241) + (xy 150.216395 94.112608) + (xy 150.220515 94.113261) + (xy 150.220517 94.113261) + (xy 150.504592 94.158255) + (xy 150.504598 94.158256) + (xy 150.508073 94.158806) + (xy 150.532632 94.159921) + (xy 150.599017 94.162936) + (xy 150.599038 94.162936) + (xy 150.600437 94.163) + (xy 150.784901 94.163) + (xy 151.004664 94.148403) + (xy 151.008763 94.147577) + (xy 151.008767 94.147576) + (xy 151.18219 94.112608) + (xy 151.294151 94.090033) + (xy 151.573375 93.993888) + (xy 151.743089 93.908902) + (xy 151.833695 93.86353) + (xy 151.833697 93.863529) + (xy 151.837431 93.861659) + (xy 152.081678 93.695668) + (xy 152.301827 93.498832) + (xy 152.400751 93.383416) + (xy 152.491289 93.277784) + (xy 152.491292 93.27778) + (xy 152.494009 93.27461) + (xy 152.496283 93.271108) + (xy 152.496287 93.271103) + (xy 152.65257 93.030449) + (xy 152.652573 93.030444) + (xy 152.654849 93.026939) + (xy 152.662823 93.010147) + (xy 152.779723 92.763954) + (xy 152.781519 92.760172) + (xy 152.851676 92.541661) + (xy 152.870515 92.482983) + (xy 152.870515 92.482982) + (xy 152.871795 92.478996) + (xy 152.899174 92.326831) + (xy 152.923351 92.192459) + (xy 152.923352 92.192454) + (xy 152.92409 92.18835) + (xy 152.927581 92.111486) + (xy 152.937297 91.897511) + (xy 152.937297 91.897506) + (xy 152.937486 91.893341) + (xy 152.935946 91.875732) + (xy 154.8472 91.875732) + (xy 154.8474 91.881062) + (xy 154.8474 91.881063) + (xy 154.851364 91.986659) + (xy 154.855854 92.106268) + (xy 154.903228 92.33205) + (xy 154.987967 92.546622) + (xy 155.107647 92.743849) + (xy 155.111144 92.747879) + (xy 155.197768 92.847704) + (xy 155.258847 92.918092) + (xy 155.262978 92.921479) + (xy 155.433115 93.060984) + (xy 155.433121 93.060988) + (xy 155.437243 93.064368) + (xy 155.637735 93.178494) + (xy 155.642751 93.180315) + (xy 155.642756 93.180317) + (xy 155.849575 93.255389) + (xy 155.849579 93.25539) + (xy 155.85459 93.257209) + (xy 155.859839 93.258158) + (xy 155.859842 93.258159) + (xy 156.077523 93.297522) + (xy 156.07753 93.297523) + (xy 156.081607 93.29826) + (xy 156.099344 93.299096) + (xy 156.104292 93.29933) + (xy 156.104299 93.29933) + (xy 156.10578 93.2994) + (xy 156.267925 93.2994) + (xy 156.334881 93.293719) + (xy 156.434562 93.285261) + (xy 156.434566 93.28526) + (xy 156.439873 93.28481) + (xy 156.445028 93.283472) + (xy 156.445034 93.283471) + (xy 156.658003 93.228195) + (xy 156.658007 93.228194) + (xy 156.663172 93.226853) + (xy 156.668038 93.224661) + (xy 156.668041 93.22466) + (xy 156.868649 93.134293) + (xy 156.873515 93.132101) + (xy 156.877935 93.129125) + (xy 156.877939 93.129123) + (xy 157.024503 93.030449) + (xy 157.064885 93.003262) + (xy 157.231812 92.844022) + (xy 157.369521 92.658934) + (xy 157.424305 92.551183) + (xy 157.471658 92.458046) + (xy 157.471658 92.458045) + (xy 157.474077 92.453288) + (xy 157.527621 92.280849) + (xy 157.540905 92.23807) + (xy 157.540906 92.238064) + (xy 157.542489 92.232967) + (xy 157.5728 92.004268) + (xy 157.571983 91.982489) + (xy 157.567975 91.875732) + (xy 166.8472 91.875732) + (xy 166.8474 91.881062) + (xy 166.8474 91.881063) + (xy 166.851364 91.986659) + (xy 166.855854 92.106268) + (xy 166.903228 92.33205) + (xy 166.987967 92.546622) + (xy 167.107647 92.743849) + (xy 167.111144 92.747879) + (xy 167.197768 92.847704) + (xy 167.258847 92.918092) + (xy 167.262978 92.921479) + (xy 167.433115 93.060984) + (xy 167.433121 93.060988) + (xy 167.437243 93.064368) + (xy 167.637735 93.178494) + (xy 167.642751 93.180315) + (xy 167.642756 93.180317) + (xy 167.849575 93.255389) + (xy 167.849579 93.25539) + (xy 167.85459 93.257209) + (xy 167.859839 93.258158) + (xy 167.859842 93.258159) + (xy 168.077523 93.297522) + (xy 168.07753 93.297523) + (xy 168.081607 93.29826) + (xy 168.099344 93.299096) + (xy 168.104292 93.29933) + (xy 168.104299 93.29933) + (xy 168.10578 93.2994) + (xy 168.267925 93.2994) + (xy 168.334881 93.293719) + (xy 168.434562 93.285261) + (xy 168.434566 93.28526) + (xy 168.439873 93.28481) + (xy 168.445028 93.283472) + (xy 168.445034 93.283471) + (xy 168.658003 93.228195) + (xy 168.658007 93.228194) + (xy 168.663172 93.226853) + (xy 168.668038 93.224661) + (xy 168.668041 93.22466) + (xy 168.868649 93.134293) + (xy 168.873515 93.132101) + (xy 168.877935 93.129125) + (xy 168.877939 93.129123) + (xy 169.024503 93.030449) + (xy 169.064885 93.003262) + (xy 169.231812 92.844022) + (xy 169.369521 92.658934) + (xy 169.424305 92.551183) + (xy 169.471658 92.458046) + (xy 169.471658 92.458045) + (xy 169.474077 92.453288) + (xy 169.527621 92.280849) + (xy 169.540905 92.23807) + (xy 169.540906 92.238064) + (xy 169.542489 92.232967) + (xy 169.5728 92.004268) + (xy 169.572139 91.986659) + (xy 171.482514 91.986659) + (xy 171.482877 91.990807) + (xy 171.482877 91.990811) + (xy 171.484517 92.009553) + (xy 171.508252 92.280849) + (xy 171.509162 92.284921) + (xy 171.509163 92.284926) + (xy 171.567659 92.546622) + (xy 171.572672 92.56905) + (xy 171.674644 92.846199) + (xy 171.676591 92.849892) + (xy 171.676592 92.849894) + (xy 171.712549 92.918092) + (xy 171.812374 93.107427) + (xy 171.814794 93.110832) + (xy 171.981019 93.344735) + (xy 171.981024 93.344741) + (xy 171.983443 93.348145) + (xy 171.986287 93.351195) + (xy 171.986292 93.351201) + (xy 172.121003 93.495661) + (xy 172.184846 93.564124) + (xy 172.413045 93.751568) + (xy 172.664029 93.907185) + (xy 172.93339 94.028241) + (xy 173.216395 94.112608) + (xy 173.220515 94.113261) + (xy 173.220517 94.113261) + (xy 173.504592 94.158255) + (xy 173.504598 94.158256) + (xy 173.508073 94.158806) + (xy 173.532632 94.159921) + (xy 173.599017 94.162936) + (xy 173.599038 94.162936) + (xy 173.600437 94.163) + (xy 173.784901 94.163) + (xy 174.004664 94.148403) + (xy 174.008763 94.147577) + (xy 174.008767 94.147576) + (xy 174.18219 94.112608) + (xy 174.294151 94.090033) + (xy 174.573375 93.993888) + (xy 174.743089 93.908902) + (xy 174.833695 93.86353) + (xy 174.833697 93.863529) + (xy 174.837431 93.861659) + (xy 175.081678 93.695668) + (xy 175.301827 93.498832) + (xy 175.400751 93.383416) + (xy 175.491289 93.277784) + (xy 175.491292 93.27778) + (xy 175.494009 93.27461) + (xy 175.496283 93.271108) + (xy 175.496287 93.271103) + (xy 175.65257 93.030449) + (xy 175.652573 93.030444) + (xy 175.654849 93.026939) + (xy 175.662823 93.010147) + (xy 175.779723 92.763954) + (xy 175.781519 92.760172) + (xy 175.851676 92.541661) + (xy 175.870515 92.482983) + (xy 175.870515 92.482982) + (xy 175.871795 92.478996) + (xy 175.899174 92.326831) + (xy 175.923351 92.192459) + (xy 175.923352 92.192454) + (xy 175.92409 92.18835) + (xy 175.927581 92.111486) + (xy 175.937297 91.897511) + (xy 175.937297 91.897506) + (xy 175.937486 91.893341) + (xy 175.935946 91.875732) + (xy 177.8472 91.875732) + (xy 177.8474 91.881062) + (xy 177.8474 91.881063) + (xy 177.851364 91.986659) + (xy 177.855854 92.106268) + (xy 177.903228 92.33205) + (xy 177.987967 92.546622) + (xy 178.107647 92.743849) + (xy 178.111144 92.747879) + (xy 178.197768 92.847704) + (xy 178.258847 92.918092) + (xy 178.262978 92.921479) + (xy 178.433115 93.060984) + (xy 178.433121 93.060988) + (xy 178.437243 93.064368) + (xy 178.637735 93.178494) + (xy 178.642751 93.180315) + (xy 178.642756 93.180317) + (xy 178.849575 93.255389) + (xy 178.849579 93.25539) + (xy 178.85459 93.257209) + (xy 178.859839 93.258158) + (xy 178.859842 93.258159) + (xy 179.077523 93.297522) + (xy 179.07753 93.297523) + (xy 179.081607 93.29826) + (xy 179.099344 93.299096) + (xy 179.104292 93.29933) + (xy 179.104299 93.29933) + (xy 179.10578 93.2994) + (xy 179.267925 93.2994) + (xy 179.334881 93.293719) + (xy 179.434562 93.285261) + (xy 179.434566 93.28526) + (xy 179.439873 93.28481) + (xy 179.445028 93.283472) + (xy 179.445034 93.283471) + (xy 179.658003 93.228195) + (xy 179.658007 93.228194) + (xy 179.663172 93.226853) + (xy 179.668038 93.224661) + (xy 179.668041 93.22466) + (xy 179.868649 93.134293) + (xy 179.873515 93.132101) + (xy 179.877935 93.129125) + (xy 179.877939 93.129123) + (xy 180.024503 93.030449) + (xy 180.064885 93.003262) + (xy 180.231812 92.844022) + (xy 180.369521 92.658934) + (xy 180.424305 92.551183) + (xy 180.471658 92.458046) + (xy 180.471658 92.458045) + (xy 180.474077 92.453288) + (xy 180.527621 92.280849) + (xy 180.540905 92.23807) + (xy 180.540906 92.238064) + (xy 180.542489 92.232967) + (xy 180.5728 92.004268) + (xy 180.571983 91.982489) + (xy 180.564346 91.779063) + (xy 180.564146 91.773732) + (xy 180.516772 91.54795) + (xy 180.432033 91.333378) + (xy 180.312353 91.136151) + (xy 180.287935 91.108012) + (xy 180.164653 90.965941) + (xy 180.164651 90.965939) + (xy 180.161153 90.961908) + (xy 180.11851 90.926943) + (xy 179.986885 90.819016) + (xy 179.986879 90.819012) + (xy 179.982757 90.815632) + (xy 179.782265 90.701506) + (xy 179.777249 90.699685) + (xy 179.777244 90.699683) + (xy 179.570425 90.624611) + (xy 179.570421 90.62461) + (xy 179.56541 90.622791) + (xy 179.560161 90.621842) + (xy 179.560158 90.621841) + (xy 179.342477 90.582478) + (xy 179.34247 90.582477) + (xy 179.338393 90.58174) + (xy 179.320656 90.580904) + (xy 179.315708 90.58067) + (xy 179.315701 90.58067) + (xy 179.31422 90.5806) + (xy 179.152075 90.5806) + (xy 179.085119 90.586281) + (xy 178.985438 90.594739) + (xy 178.985434 90.59474) + (xy 178.980127 90.59519) + (xy 178.974972 90.596528) + (xy 178.974966 90.596529) + (xy 178.761997 90.651805) + (xy 178.761993 90.651806) + (xy 178.756828 90.653147) + (xy 178.751962 90.655339) + (xy 178.751959 90.65534) + (xy 178.626491 90.711859) + (xy 178.546485 90.747899) + (xy 178.542065 90.750875) + (xy 178.542061 90.750877) + (xy 178.4498 90.812992) + (xy 178.355115 90.876738) + (xy 178.188188 91.035978) + (xy 178.050479 91.221066) + (xy 178.048064 91.225816) + (xy 177.993377 91.333378) + (xy 177.945923 91.426712) + (xy 177.911717 91.536873) + (xy 177.879095 91.64193) + (xy 177.879094 91.641936) + (xy 177.877511 91.647033) + (xy 177.8472 91.875732) + (xy 175.935946 91.875732) + (xy 175.912112 91.603312) + (xy 175.911748 91.599151) + (xy 175.90147 91.553169) + (xy 175.84824 91.315028) + (xy 175.848238 91.315021) + (xy 175.847328 91.31095) + (xy 175.745356 91.033801) + (xy 175.709578 90.965941) + (xy 175.659745 90.871425) + (xy 175.607626 90.772573) + (xy 175.521801 90.651805) + (xy 175.438981 90.535265) + (xy 175.438976 90.535259) + (xy 175.436557 90.531855) + (xy 175.433713 90.528805) + (xy 175.433708 90.528799) + (xy 175.238 90.318928) + (xy 175.235154 90.315876) + (xy 175.006955 90.128432) + (xy 174.755971 89.972815) + (xy 174.48661 89.851759) + (xy 174.203605 89.767392) + (xy 174.199485 89.766739) + (xy 174.199483 89.766739) + (xy 173.915408 89.721745) + (xy 173.915402 89.721744) + (xy 173.911927 89.721194) + (xy 173.887368 89.720079) + (xy 173.820983 89.717064) + (xy 173.820962 89.717064) + (xy 173.819563 89.717) + (xy 173.635099 89.717) + (xy 173.415336 89.731597) + (xy 173.411237 89.732423) + (xy 173.411233 89.732424) + (xy 173.268639 89.761176) + (xy 173.125849 89.789967) + (xy 172.846625 89.886112) + (xy 172.818891 89.9) + (xy 172.673483 89.972815) + (xy 172.582569 90.018341) + (xy 172.338322 90.184332) + (xy 172.335208 90.187116) + (xy 172.335207 90.187117) + (xy 172.319747 90.20094) + (xy 172.118173 90.381168) + (xy 172.115456 90.384338) + (xy 172.115455 90.384339) + (xy 171.933586 90.596529) + (xy 171.925991 90.60539) + (xy 171.923717 90.608892) + (xy 171.923713 90.608897) + (xy 171.76743 90.849551) + (xy 171.765151 90.853061) + (xy 171.763357 90.856839) + (xy 171.763356 90.856841) + (xy 171.730069 90.926943) + (xy 171.638481 91.119828) + (xy 171.637202 91.123811) + (xy 171.637201 91.123814) + (xy 171.549485 91.397017) + (xy 171.548205 91.401004) + (xy 171.542661 91.431815) + (xy 171.502986 91.652325) + (xy 171.49591 91.69165) + (xy 171.495721 91.695817) + (xy 171.49572 91.695824) + (xy 171.487791 91.870447) + (xy 171.482514 91.986659) + (xy 169.572139 91.986659) + (xy 169.571983 91.982489) + (xy 169.564346 91.779063) + (xy 169.564146 91.773732) + (xy 169.516772 91.54795) + (xy 169.432033 91.333378) + (xy 169.312353 91.136151) + (xy 169.287935 91.108012) + (xy 169.164653 90.965941) + (xy 169.164651 90.965939) + (xy 169.161153 90.961908) + (xy 169.11851 90.926943) + (xy 168.986885 90.819016) + (xy 168.986879 90.819012) + (xy 168.982757 90.815632) + (xy 168.782265 90.701506) + (xy 168.777249 90.699685) + (xy 168.777244 90.699683) + (xy 168.570425 90.624611) + (xy 168.570421 90.62461) + (xy 168.56541 90.622791) + (xy 168.560161 90.621842) + (xy 168.560158 90.621841) + (xy 168.342477 90.582478) + (xy 168.34247 90.582477) + (xy 168.338393 90.58174) + (xy 168.320656 90.580904) + (xy 168.315708 90.58067) + (xy 168.315701 90.58067) + (xy 168.31422 90.5806) + (xy 168.152075 90.5806) + (xy 168.085119 90.586281) + (xy 167.985438 90.594739) + (xy 167.985434 90.59474) + (xy 167.980127 90.59519) + (xy 167.974972 90.596528) + (xy 167.974966 90.596529) + (xy 167.761997 90.651805) + (xy 167.761993 90.651806) + (xy 167.756828 90.653147) + (xy 167.751962 90.655339) + (xy 167.751959 90.65534) + (xy 167.626491 90.711859) + (xy 167.546485 90.747899) + (xy 167.542065 90.750875) + (xy 167.542061 90.750877) + (xy 167.4498 90.812992) + (xy 167.355115 90.876738) + (xy 167.188188 91.035978) + (xy 167.050479 91.221066) + (xy 167.048064 91.225816) + (xy 166.993377 91.333378) + (xy 166.945923 91.426712) + (xy 166.911717 91.536873) + (xy 166.879095 91.64193) + (xy 166.879094 91.641936) + (xy 166.877511 91.647033) + (xy 166.8472 91.875732) + (xy 157.567975 91.875732) + (xy 157.564346 91.779063) + (xy 157.564146 91.773732) + (xy 157.516772 91.54795) + (xy 157.432033 91.333378) + (xy 157.312353 91.136151) + (xy 157.287935 91.108012) + (xy 157.164653 90.965941) + (xy 157.164651 90.965939) + (xy 157.161153 90.961908) + (xy 157.11851 90.926943) + (xy 156.986885 90.819016) + (xy 156.986879 90.819012) + (xy 156.982757 90.815632) + (xy 156.782265 90.701506) + (xy 156.777249 90.699685) + (xy 156.777244 90.699683) + (xy 156.570425 90.624611) + (xy 156.570421 90.62461) + (xy 156.56541 90.622791) + (xy 156.560161 90.621842) + (xy 156.560158 90.621841) + (xy 156.342477 90.582478) + (xy 156.34247 90.582477) + (xy 156.338393 90.58174) + (xy 156.320656 90.580904) + (xy 156.315708 90.58067) + (xy 156.315701 90.58067) + (xy 156.31422 90.5806) + (xy 156.152075 90.5806) + (xy 156.085119 90.586281) + (xy 155.985438 90.594739) + (xy 155.985434 90.59474) + (xy 155.980127 90.59519) + (xy 155.974972 90.596528) + (xy 155.974966 90.596529) + (xy 155.761997 90.651805) + (xy 155.761993 90.651806) + (xy 155.756828 90.653147) + (xy 155.751962 90.655339) + (xy 155.751959 90.65534) + (xy 155.626491 90.711859) + (xy 155.546485 90.747899) + (xy 155.542065 90.750875) + (xy 155.542061 90.750877) + (xy 155.4498 90.812992) + (xy 155.355115 90.876738) + (xy 155.188188 91.035978) + (xy 155.050479 91.221066) + (xy 155.048064 91.225816) + (xy 154.993377 91.333378) + (xy 154.945923 91.426712) + (xy 154.911717 91.536873) + (xy 154.879095 91.64193) + (xy 154.879094 91.641936) + (xy 154.877511 91.647033) + (xy 154.8472 91.875732) + (xy 152.935946 91.875732) + (xy 152.912112 91.603312) + (xy 152.911748 91.599151) + (xy 152.90147 91.553169) + (xy 152.84824 91.315028) + (xy 152.848238 91.315021) + (xy 152.847328 91.31095) + (xy 152.745356 91.033801) + (xy 152.709578 90.965941) + (xy 152.659745 90.871425) + (xy 152.607626 90.772573) + (xy 152.521801 90.651805) + (xy 152.438981 90.535265) + (xy 152.438976 90.535259) + (xy 152.436557 90.531855) + (xy 152.433713 90.528805) + (xy 152.433708 90.528799) + (xy 152.238 90.318928) + (xy 152.235154 90.315876) + (xy 152.006955 90.128432) + (xy 151.755971 89.972815) + (xy 151.48661 89.851759) + (xy 151.203605 89.767392) + (xy 151.199485 89.766739) + (xy 151.199483 89.766739) + (xy 150.915408 89.721745) + (xy 150.915402 89.721744) + (xy 150.911927 89.721194) + (xy 150.887368 89.720079) + (xy 150.820983 89.717064) + (xy 150.820962 89.717064) + (xy 150.819563 89.717) + (xy 150.635099 89.717) + (xy 150.415336 89.731597) + (xy 150.411237 89.732423) + (xy 150.411233 89.732424) + (xy 150.268639 89.761176) + (xy 150.125849 89.789967) + (xy 149.846625 89.886112) + (xy 149.818891 89.9) + (xy 149.673483 89.972815) + (xy 149.582569 90.018341) + (xy 149.338322 90.184332) + (xy 149.335208 90.187116) + (xy 149.335207 90.187117) + (xy 149.319747 90.20094) + (xy 149.118173 90.381168) + (xy 149.115456 90.384338) + (xy 149.115455 90.384339) + (xy 148.933586 90.596529) + (xy 148.925991 90.60539) + (xy 148.923717 90.608892) + (xy 148.923713 90.608897) + (xy 148.76743 90.849551) + (xy 148.765151 90.853061) + (xy 148.763357 90.856839) + (xy 148.763356 90.856841) + (xy 148.730069 90.926943) + (xy 148.638481 91.119828) + (xy 148.637202 91.123811) + (xy 148.637201 91.123814) + (xy 148.549485 91.397017) + (xy 148.548205 91.401004) + (xy 148.542661 91.431815) + (xy 148.502986 91.652325) + (xy 148.49591 91.69165) + (xy 148.495721 91.695817) + (xy 148.49572 91.695824) + (xy 148.487791 91.870447) + (xy 148.482514 91.986659) + (xy 146.572139 91.986659) + (xy 146.571983 91.982489) + (xy 146.564346 91.779063) + (xy 146.564146 91.773732) + (xy 146.516772 91.54795) + (xy 146.432033 91.333378) + (xy 146.312353 91.136151) + (xy 146.287935 91.108012) + (xy 146.164653 90.965941) + (xy 146.164651 90.965939) + (xy 146.161153 90.961908) + (xy 146.11851 90.926943) + (xy 145.986885 90.819016) + (xy 145.986879 90.819012) + (xy 145.982757 90.815632) + (xy 145.782265 90.701506) + (xy 145.777249 90.699685) + (xy 145.777244 90.699683) + (xy 145.570425 90.624611) + (xy 145.570421 90.62461) + (xy 145.56541 90.622791) + (xy 145.560161 90.621842) + (xy 145.560158 90.621841) + (xy 145.342477 90.582478) + (xy 145.34247 90.582477) + (xy 145.338393 90.58174) + (xy 145.320656 90.580904) + (xy 145.315708 90.58067) + (xy 145.315701 90.58067) + (xy 145.31422 90.5806) + (xy 145.152075 90.5806) + (xy 145.085119 90.586281) + (xy 144.985438 90.594739) + (xy 144.985434 90.59474) + (xy 144.980127 90.59519) + (xy 144.974972 90.596528) + (xy 144.974966 90.596529) + (xy 144.761997 90.651805) + (xy 144.761993 90.651806) + (xy 144.756828 90.653147) + (xy 144.751962 90.655339) + (xy 144.751959 90.65534) + (xy 144.626491 90.711859) + (xy 144.546485 90.747899) + (xy 144.542065 90.750875) + (xy 144.542061 90.750877) + (xy 144.4498 90.812992) + (xy 144.355115 90.876738) + (xy 144.188188 91.035978) + (xy 144.050479 91.221066) + (xy 144.048064 91.225816) + (xy 143.993377 91.333378) + (xy 143.945923 91.426712) + (xy 143.911717 91.536873) + (xy 143.879095 91.64193) + (xy 143.879094 91.641936) + (xy 143.877511 91.647033) + (xy 143.8472 91.875732) + (xy 134.577975 91.875732) + (xy 134.574346 91.779063) + (xy 134.574146 91.773732) + (xy 134.526772 91.54795) + (xy 134.442033 91.333378) + (xy 134.322353 91.136151) + (xy 134.297935 91.108012) + (xy 134.174653 90.965941) + (xy 134.174651 90.965939) + (xy 134.171153 90.961908) + (xy 134.12851 90.926943) + (xy 133.996885 90.819016) + (xy 133.996879 90.819012) + (xy 133.992757 90.815632) + (xy 133.792265 90.701506) + (xy 133.787249 90.699685) + (xy 133.787244 90.699683) + (xy 133.580425 90.624611) + (xy 133.580421 90.62461) + (xy 133.57541 90.622791) + (xy 133.570161 90.621842) + (xy 133.570158 90.621841) + (xy 133.352477 90.582478) + (xy 133.35247 90.582477) + (xy 133.348393 90.58174) + (xy 133.330656 90.580904) + (xy 133.325708 90.58067) + (xy 133.325701 90.58067) + (xy 133.32422 90.5806) + (xy 133.162075 90.5806) + (xy 133.095119 90.586281) + (xy 132.995438 90.594739) + (xy 132.995434 90.59474) + (xy 132.990127 90.59519) + (xy 132.984972 90.596528) + (xy 132.984966 90.596529) + (xy 132.771997 90.651805) + (xy 132.771993 90.651806) + (xy 132.766828 90.653147) + (xy 132.761962 90.655339) + (xy 132.761959 90.65534) + (xy 132.636491 90.711859) + (xy 132.556485 90.747899) + (xy 132.552065 90.750875) + (xy 132.552061 90.750877) + (xy 132.4598 90.812992) + (xy 132.365115 90.876738) + (xy 132.198188 91.035978) + (xy 132.060479 91.221066) + (xy 132.058064 91.225816) + (xy 132.003377 91.333378) + (xy 131.955923 91.426712) + (xy 131.921717 91.536873) + (xy 131.889095 91.64193) + (xy 131.889094 91.641936) + (xy 131.887511 91.647033) + (xy 131.8572 91.875732) + (xy 129.945946 91.875732) + (xy 129.922112 91.603312) + (xy 129.921748 91.599151) + (xy 129.91147 91.553169) + (xy 129.85824 91.315028) + (xy 129.858238 91.315021) + (xy 129.857328 91.31095) + (xy 129.755356 91.033801) + (xy 129.719578 90.965941) + (xy 129.669745 90.871425) + (xy 129.617626 90.772573) + (xy 129.531801 90.651805) + (xy 129.448981 90.535265) + (xy 129.448976 90.535259) + (xy 129.446557 90.531855) + (xy 129.443713 90.528805) + (xy 129.443708 90.528799) + (xy 129.248 90.318928) + (xy 129.245154 90.315876) + (xy 129.016955 90.128432) + (xy 128.765971 89.972815) + (xy 128.49661 89.851759) + (xy 128.213605 89.767392) + (xy 128.209485 89.766739) + (xy 128.209483 89.766739) + (xy 127.925408 89.721745) + (xy 127.925402 89.721744) + (xy 127.921927 89.721194) + (xy 127.897368 89.720079) + (xy 127.830983 89.717064) + (xy 127.830962 89.717064) + (xy 127.829563 89.717) + (xy 127.645099 89.717) + (xy 127.425336 89.731597) + (xy 127.421237 89.732423) + (xy 127.421233 89.732424) + (xy 127.278639 89.761176) + (xy 127.135849 89.789967) + (xy 126.856625 89.886112) + (xy 126.828891 89.9) + (xy 126.683483 89.972815) + (xy 126.592569 90.018341) + (xy 126.348322 90.184332) + (xy 126.345208 90.187116) + (xy 126.345207 90.187117) + (xy 126.329747 90.20094) + (xy 126.128173 90.381168) + (xy 126.125456 90.384338) + (xy 126.125455 90.384339) + (xy 125.943586 90.596529) + (xy 125.935991 90.60539) + (xy 125.933717 90.608892) + (xy 125.933713 90.608897) + (xy 125.77743 90.849551) + (xy 125.775151 90.853061) + (xy 125.773357 90.856839) + (xy 125.773356 90.856841) + (xy 125.740069 90.926943) + (xy 125.648481 91.119828) + (xy 125.647202 91.123811) + (xy 125.647201 91.123814) + (xy 125.559485 91.397017) + (xy 125.558205 91.401004) + (xy 125.552661 91.431815) + (xy 125.512986 91.652325) + (xy 125.50591 91.69165) + (xy 125.505721 91.695817) + (xy 125.50572 91.695824) + (xy 125.497791 91.870447) + (xy 125.492514 91.986659) + (xy 123.582139 91.986659) + (xy 123.581983 91.982489) + (xy 123.574346 91.779063) + (xy 123.574146 91.773732) + (xy 123.526772 91.54795) + (xy 123.442033 91.333378) + (xy 123.322353 91.136151) + (xy 123.297935 91.108012) + (xy 123.174653 90.965941) + (xy 123.174651 90.965939) + (xy 123.171153 90.961908) + (xy 123.12851 90.926943) + (xy 122.996885 90.819016) + (xy 122.996879 90.819012) + (xy 122.992757 90.815632) + (xy 122.792265 90.701506) + (xy 122.787249 90.699685) + (xy 122.787244 90.699683) + (xy 122.580425 90.624611) + (xy 122.580421 90.62461) + (xy 122.57541 90.622791) + (xy 122.570161 90.621842) + (xy 122.570158 90.621841) + (xy 122.352477 90.582478) + (xy 122.35247 90.582477) + (xy 122.348393 90.58174) + (xy 122.330656 90.580904) + (xy 122.325708 90.58067) + (xy 122.325701 90.58067) + (xy 122.32422 90.5806) + (xy 122.162075 90.5806) + (xy 122.095119 90.586281) + (xy 121.995438 90.594739) + (xy 121.995434 90.59474) + (xy 121.990127 90.59519) + (xy 121.984972 90.596528) + (xy 121.984966 90.596529) + (xy 121.771997 90.651805) + (xy 121.771993 90.651806) + (xy 121.766828 90.653147) + (xy 121.761962 90.655339) + (xy 121.761959 90.65534) + (xy 121.636491 90.711859) + (xy 121.556485 90.747899) + (xy 121.552062 90.750877) + (xy 121.552055 90.750881) + (xy 121.404867 90.849974) + (xy 121.337189 90.871425) + (xy 121.268657 90.852882) + (xy 121.22103 90.80023) + (xy 121.2085 90.745454) + (xy 121.2085 90.34566) + (xy 121.228502 90.277539) + (xy 121.245405 90.256565) + (xy 123.457302 88.044669) + (xy 126.462001 88.044669) + (xy 126.462371 88.05149) + (xy 126.467895 88.102352) + (xy 126.471521 88.117604) + (xy 126.516676 88.238054) + (xy 126.525214 88.253649) + (xy 126.601715 88.355724) + (xy 126.614276 88.368285) + (xy 126.716351 88.444786) + (xy 126.731946 88.453324) + (xy 126.852394 88.498478) + (xy 126.867649 88.502105) + (xy 126.918514 88.507631) + (xy 126.925328 88.508) + (xy 126.972885 88.508) + (xy 126.988124 88.503525) + (xy 126.989329 88.502135) + (xy 126.991 88.494452) + (xy 126.991 87.997115) + (xy 126.986525 87.981876) + (xy 126.985135 87.980671) + (xy 126.977452 87.979) + (xy 126.480116 87.979) + (xy 126.464877 87.983475) + (xy 126.463672 87.984865) + (xy 126.462001 87.992548) + (xy 126.462001 88.044669) + (xy 123.457302 88.044669) + (xy 124.980528 86.521443) + (xy 124.986793 86.515589) + (xy 125.024664 86.482552) + (xy 125.024665 86.482551) + (xy 125.030385 86.477561) + (xy 125.06688 86.425635) + (xy 125.122412 86.381406) + (xy 125.196161 86.374841) + (xy 125.348056 86.407128) + (xy 125.348061 86.407128) + (xy 125.354513 86.4085) + (xy 125.545487 86.4085) + (xy 125.551939 86.407128) + (xy 125.551944 86.407128) + (xy 125.638887 86.388647) + (xy 125.732288 86.368794) + (xy 125.738319 86.366109) + (xy 125.900722 86.293803) + (xy 125.900724 86.293802) + (xy 125.906752 86.291118) + (xy 126.061253 86.178866) + (xy 126.074869 86.163744) + (xy 126.184621 86.041852) + (xy 126.184622 86.041851) + (xy 126.18904 86.036944) + (xy 126.284527 85.871556) + (xy 126.336692 85.71101) + (xy 126.376766 85.652404) + (xy 126.442162 85.624767) + (xy 126.512119 85.636874) + (xy 126.564425 85.68488) + (xy 126.581966 85.738088) + (xy 126.585941 85.780138) + (xy 126.5865 85.791996) + (xy 126.5865 86.121768) + (xy 126.566498 86.189889) + (xy 126.561326 86.197333) + (xy 126.53801 86.228444) + (xy 126.519385 86.253295) + (xy 126.468255 86.389684) + (xy 126.4615 86.451866) + (xy 126.4615 87.098134) + (xy 126.468255 87.160316) + (xy 126.471029 87.167715) + (xy 126.485562 87.206482) + (xy 126.490745 87.277289) + (xy 126.485562 87.294942) + (xy 126.471522 87.332394) + (xy 126.467895 87.347649) + (xy 126.462369 87.398514) + (xy 126.462 87.405328) + (xy 126.462 87.452885) + (xy 126.466475 87.468124) + (xy 126.467865 87.469329) + (xy 126.475548 87.471) + (xy 126.641804 87.471) + (xy 126.709925 87.491002) + (xy 126.715643 87.494974) + (xy 126.716108 87.495228) + (xy 126.723295 87.500615) + (xy 126.859684 87.551745) + (xy 126.921866 87.5585) + (xy 127.2855 87.5585) + (xy 127.353621 87.578502) + (xy 127.400114 87.632158) + (xy 127.4115 87.6845) + (xy 127.4115 88.048134) + (xy 127.418255 88.110316) + (xy 127.469385 88.246705) + (xy 127.474771 88.253892) + (xy 127.479079 88.26176) + (xy 127.477551 88.262597) + (xy 127.498674 88.319141) + (xy 127.499 88.328196) + (xy 127.499 88.489884) + (xy 127.503475 88.505123) + (xy 127.504865 88.506328) + (xy 127.512548 88.507999) + (xy 127.564669 88.507999) + (xy 127.57149 88.507629) + (xy 127.622352 88.502105) + (xy 127.637604 88.498479) + (xy 127.675058 88.484438) + (xy 127.745865 88.479255) + (xy 127.763516 88.484438) + (xy 127.809684 88.501745) + (xy 127.871866 88.5085) + (xy 128.518134 88.5085) + (xy 128.580316 88.501745) + (xy 128.716705 88.450615) + (xy 128.72389 88.44523) + (xy 128.723892 88.445229) + (xy 128.772667 88.408674) + (xy 128.839174 88.383826) + (xy 128.848232 88.3835) + (xy 148.903922 88.3835) + (xy 148.915778 88.384059) + (xy 148.915781 88.384059) + (xy 148.923515 88.385788) + (xy 148.994347 88.383562) + (xy 148.998305 88.3835) + (xy 149.02741 88.3835) + (xy 149.03181 88.382944) + (xy 149.043642 88.382012) + (xy 149.089809 88.380562) + (xy 149.110399 88.37458) + (xy 149.12976 88.37057) + (xy 149.136748 88.369688) + (xy 149.143182 88.368875) + (xy 149.143183 88.368875) + (xy 149.151042 88.367882) + (xy 149.158407 88.364966) + (xy 149.158411 88.364965) + (xy 149.193999 88.350874) + (xy 149.205209 88.347035) + (xy 149.249578 88.334145) + (xy 149.268043 88.323225) + (xy 149.285783 88.314534) + (xy 149.305734 88.306635) + (xy 149.343107 88.279482) + (xy 149.353026 88.272967) + (xy 149.378212 88.258072) + (xy 149.447028 88.240612) + (xy 149.514359 88.263129) + (xy 149.543177 88.29096) + (xy 149.591715 88.355724) + (xy 149.604276 88.368285) + (xy 149.706351 88.444786) + (xy 149.721946 88.453324) + (xy 149.842394 88.498478) + (xy 149.857649 88.502105) + (xy 149.908514 88.507631) + (xy 149.915328 88.508) + (xy 149.962885 88.508) + (xy 149.978124 88.503525) + (xy 149.979329 88.502135) + (xy 149.981 88.494452) + (xy 149.981 87.713428) + (xy 150.001002 87.645307) + (xy 150.017905 87.624333) + (xy 150.046833 87.595405) + (xy 150.109145 87.561379) + (xy 150.135928 87.5585) + (xy 150.2755 87.5585) + (xy 150.343621 87.578502) + (xy 150.390114 87.632158) + (xy 150.4015 87.6845) + (xy 150.4015 88.048134) + (xy 150.408255 88.110316) + (xy 150.459385 88.246705) + (xy 150.464771 88.253892) + (xy 150.469079 88.26176) + (xy 150.467551 88.262597) + (xy 150.488674 88.319141) + (xy 150.489 88.328196) + (xy 150.489 88.489884) + (xy 150.493475 88.505123) + (xy 150.494865 88.506328) + (xy 150.502548 88.507999) + (xy 150.554669 88.507999) + (xy 150.56149 88.507629) + (xy 150.612352 88.502105) + (xy 150.627604 88.498479) + (xy 150.665058 88.484438) + (xy 150.735865 88.479255) + (xy 150.753516 88.484438) + (xy 150.799684 88.501745) + (xy 150.861866 88.5085) + (xy 151.508134 88.5085) + (xy 151.570316 88.501745) + (xy 151.706705 88.450615) + (xy 151.71389 88.44523) + (xy 151.713892 88.445229) + (xy 151.762667 88.408674) + (xy 151.829174 88.383826) + (xy 151.838232 88.3835) + (xy 171.903922 88.3835) + (xy 171.915778 88.384059) + (xy 171.915781 88.384059) + (xy 171.923515 88.385788) + (xy 171.994347 88.383562) + (xy 171.998305 88.3835) + (xy 172.02741 88.3835) + (xy 172.03181 88.382944) + (xy 172.043642 88.382012) + (xy 172.089809 88.380562) + (xy 172.110399 88.37458) + (xy 172.12976 88.37057) + (xy 172.136748 88.369688) + (xy 172.143182 88.368875) + (xy 172.143183 88.368875) + (xy 172.151042 88.367882) + (xy 172.158407 88.364966) + (xy 172.158411 88.364965) + (xy 172.193999 88.350874) + (xy 172.205209 88.347035) + (xy 172.249578 88.334145) + (xy 172.268043 88.323225) + (xy 172.285783 88.314534) + (xy 172.305734 88.306635) + (xy 172.343107 88.279482) + (xy 172.353026 88.272967) + (xy 172.378212 88.258072) + (xy 172.447028 88.240612) + (xy 172.514359 88.263129) + (xy 172.543177 88.29096) + (xy 172.591715 88.355724) + (xy 172.604276 88.368285) + (xy 172.706351 88.444786) + (xy 172.721946 88.453324) + (xy 172.842394 88.498478) + (xy 172.857649 88.502105) + (xy 172.908514 88.507631) + (xy 172.915328 88.508) + (xy 172.962885 88.508) + (xy 172.978124 88.503525) + (xy 172.979329 88.502135) + (xy 172.981 88.494452) + (xy 172.981 87.713428) + (xy 173.001002 87.645307) + (xy 173.017905 87.624333) + (xy 173.046833 87.595405) + (xy 173.109145 87.561379) + (xy 173.135928 87.5585) + (xy 173.2755 87.5585) + (xy 173.343621 87.578502) + (xy 173.390114 87.632158) + (xy 173.4015 87.6845) + (xy 173.4015 88.048134) + (xy 173.408255 88.110316) + (xy 173.459385 88.246705) + (xy 173.464771 88.253892) + (xy 173.469079 88.26176) + (xy 173.467551 88.262597) + (xy 173.488674 88.319141) + (xy 173.489 88.328196) + (xy 173.489 88.489884) + (xy 173.493475 88.505123) + (xy 173.494865 88.506328) + (xy 173.502548 88.507999) + (xy 173.554669 88.507999) + (xy 173.56149 88.507629) + (xy 173.612352 88.502105) + (xy 173.627604 88.498479) + (xy 173.665058 88.484438) + (xy 173.735865 88.479255) + (xy 173.753516 88.484438) + (xy 173.799684 88.501745) + (xy 173.861866 88.5085) + (xy 174.508134 88.5085) + (xy 174.570316 88.501745) + (xy 174.706705 88.450615) + (xy 174.71389 88.44523) + (xy 174.713892 88.445229) + (xy 174.762667 88.408674) + (xy 174.829174 88.383826) + (xy 174.838232 88.3835) + (xy 174.892944 88.3835) + (xy 174.9048 88.384059) + (xy 174.904803 88.384059) + (xy 174.912537 88.385788) + (xy 174.983369 88.383562) + (xy 174.987327 88.3835) + (xy 175.016432 88.3835) + (xy 175.020832 88.382944) + (xy 175.032664 88.382012) + (xy 175.078831 88.380562) + (xy 175.099421 88.37458) + (xy 175.118782 88.37057) + (xy 175.12577 88.369688) + (xy 175.132204 88.368875) + (xy 175.132205 88.368875) + (xy 175.140064 88.367882) + (xy 175.147429 88.364966) + (xy 175.147433 88.364965) + (xy 175.183021 88.350874) + (xy 175.194231 88.347035) + (xy 175.2386 88.334145) + (xy 175.257065 88.323225) + (xy 175.274805 88.314534) + (xy 175.294756 88.306635) + (xy 175.332129 88.279482) + (xy 175.342048 88.272967) + (xy 175.374977 88.253493) + (xy 175.374981 88.25349) + (xy 175.381807 88.249453) + (xy 175.396971 88.234289) + (xy 175.412005 88.221448) + (xy 175.422943 88.213501) + (xy 175.429357 88.208841) + (xy 175.458803 88.173247) + (xy 175.466792 88.164468) + (xy 175.607605 88.023655) + (xy 175.616385 88.015665) + (xy 175.616387 88.015663) + (xy 175.62308 88.011416) + (xy 175.63651 87.997115) + (xy 175.671604 87.959743) + (xy 175.674359 87.956901) + (xy 175.694927 87.936333) + (xy 175.697647 87.932826) + (xy 175.705353 87.923804) + (xy 175.731544 87.895913) + (xy 175.736972 87.890133) + (xy 175.740794 87.883181) + (xy 175.747303 87.871342) + (xy 175.758157 87.854818) + (xy 175.766445 87.844132) + (xy 175.771304 87.837868) + (xy 175.774452 87.830594) + (xy 175.789654 87.795465) + (xy 175.794876 87.784805) + (xy 175.813305 87.751284) + (xy 175.813306 87.751282) + (xy 175.817124 87.744337) + (xy 175.822459 87.723559) + (xy 175.828858 87.704869) + (xy 175.83738 87.685176) + (xy 175.844606 87.639552) + (xy 175.847013 87.627929) + (xy 175.856528 87.590868) + (xy 175.8585 87.583188) + (xy 175.8585 87.561741) + (xy 175.860051 87.542031) + (xy 175.862166 87.528677) + (xy 175.863406 87.520848) + (xy 175.859059 87.474859) + (xy 175.8585 87.463004) + (xy 175.8585 84.782056) + (xy 175.859059 84.7702) + (xy 175.859059 84.770197) + (xy 175.860788 84.762463) + (xy 175.858562 84.691631) + (xy 175.8585 84.687673) + (xy 175.8585 84.658568) + (xy 175.857944 84.654168) + (xy 175.857012 84.64233) + (xy 175.856656 84.63098) + (xy 175.855562 84.596169) + (xy 175.84958 84.575579) + (xy 175.84557 84.556216) + (xy 175.843875 84.542796) + (xy 175.843875 84.542795) + (xy 175.842882 84.534936) + (xy 175.839966 84.527571) + (xy 175.839965 84.527567) + (xy 175.825874 84.491979) + (xy 175.822035 84.480769) + (xy 175.809145 84.4364) + (xy 175.798229 84.417943) + (xy 175.789534 84.400193) + (xy 175.781635 84.380244) + (xy 175.754477 84.342864) + (xy 175.74796 84.332943) + (xy 175.746933 84.331206) + (xy 175.724452 84.293193) + (xy 175.709291 84.278032) + (xy 175.696449 84.262997) + (xy 175.683841 84.245643) + (xy 175.648241 84.216192) + (xy 175.639462 84.208203) + (xy 173.295405 81.864145) + (xy 173.261379 81.801833) + (xy 173.2585 81.77505) + (xy 173.2585 73.14) + (xy 173.890786 73.14) + (xy 173.909613 73.379222) + (xy 173.910767 73.384029) + (xy 173.910768 73.384035) + (xy 173.916642 73.4085) + (xy 173.965631 73.612553) + (xy 173.967524 73.617124) + (xy 173.967525 73.617126) + (xy 174.012308 73.725241) + (xy 174.05746 73.834249) + (xy 174.18284 74.038849) + (xy 174.338682 74.221318) + (xy 174.521151 74.37716) + (xy 174.725751 74.50254) + (xy 174.730321 74.504433) + (xy 174.730323 74.504434) + (xy 174.936725 74.589928) + (xy 174.947447 74.594369) + (xy 175.029037 74.613957) + (xy 175.175965 74.649232) + (xy 175.175971 74.649233) + (xy 175.180778 74.650387) + (xy 175.42 74.669214) + (xy 175.659222 74.650387) + (xy 175.664029 74.649233) + (xy 175.664035 74.649232) + (xy 175.810963 74.613957) + (xy 175.892553 74.594369) + (xy 175.903275 74.589928) + (xy 176.109677 74.504434) + (xy 176.109679 74.504433) + (xy 176.114249 74.50254) + (xy 176.318849 74.37716) + (xy 176.501318 74.221318) + (xy 176.65716 74.038849) + (xy 176.78254 73.834249) + (xy 176.827693 73.725241) + (xy 176.872475 73.617126) + (xy 176.872476 73.617124) + (xy 176.874369 73.612553) + (xy 176.923358 73.4085) + (xy 176.929232 73.384035) + (xy 176.929233 73.384029) + (xy 176.930387 73.379222) + (xy 176.949214 73.14) + (xy 176.930387 72.900778) + (xy 176.929233 72.895971) + (xy 176.929232 72.895965) + (xy 176.879766 72.689928) + (xy 176.874369 72.667447) + (xy 176.78254 72.445751) + (xy 176.683606 72.284306) + (xy 179.540524 72.284306) + (xy 179.546251 72.291956) + (xy 179.721759 72.399507) + (xy 179.730553 72.403988) + (xy 179.943029 72.491998) + (xy 179.952414 72.495047) + (xy 180.176044 72.548737) + (xy 180.185791 72.55028) + (xy 180.41507 72.568325) + (xy 180.42493 72.568325) + (xy 180.654209 72.55028) + (xy 180.663956 72.548737) + (xy 180.887586 72.495047) + (xy 180.896971 72.491998) + (xy 181.109447 72.403988) + (xy 181.118241 72.399507) + (xy 181.290083 72.294203) + (xy 181.299543 72.283747) + (xy 181.295759 72.274969) + (xy 180.432812 71.412022) + (xy 180.418868 71.404408) + (xy 180.417035 71.404539) + (xy 180.41042 71.40879) + (xy 179.547284 72.271926) + (xy 179.540524 72.284306) + (xy 176.683606 72.284306) + (xy 176.65716 72.241151) + (xy 176.501318 72.058682) + (xy 176.39605 71.968775) + (xy 176.322617 71.906058) + (xy 176.322616 71.906057) + (xy 176.318849 71.90284) + (xy 176.114249 71.77746) + (xy 176.109679 71.775567) + (xy 176.109677 71.775566) + (xy 175.897126 71.687525) + (xy 175.897124 71.687524) + (xy 175.892553 71.685631) + (xy 175.810963 71.666043) + (xy 175.664035 71.630768) + (xy 175.664029 71.630767) + (xy 175.659222 71.629613) + (xy 175.42 71.610786) + (xy 175.180778 71.629613) + (xy 175.175971 71.630767) + (xy 175.175965 71.630768) + (xy 175.029037 71.666043) + (xy 174.947447 71.685631) + (xy 174.942876 71.687524) + (xy 174.942874 71.687525) + (xy 174.730323 71.775566) + (xy 174.730321 71.775567) + (xy 174.725751 71.77746) + (xy 174.521151 71.90284) + (xy 174.517384 71.906057) + (xy 174.517383 71.906058) + (xy 174.44395 71.968775) + (xy 174.338682 72.058682) + (xy 174.18284 72.241151) + (xy 174.05746 72.445751) + (xy 173.965631 72.667447) + (xy 173.960234 72.689928) + (xy 173.910768 72.895965) + (xy 173.910767 72.895971) + (xy 173.909613 72.900778) + (xy 173.890786 73.14) + (xy 173.2585 73.14) + (xy 173.2585 71.04493) + (xy 178.891675 71.04493) + (xy 178.90972 71.274209) + (xy 178.911263 71.283956) + (xy 178.964953 71.507586) + (xy 178.968002 71.516971) + (xy 179.056012 71.729447) + (xy 179.060493 71.738241) + (xy 179.165797 71.910083) + (xy 179.176253 71.919543) + (xy 179.185031 71.915759) + (xy 180.047978 71.052812) + (xy 180.054356 71.041132) + (xy 180.784408 71.041132) + (xy 180.784539 71.042965) + (xy 180.78879 71.04958) + (xy 181.651926 71.912716) + (xy 181.664306 71.919476) + (xy 181.671956 71.913749) + (xy 181.779507 71.738241) + (xy 181.783988 71.729447) + (xy 181.871998 71.516971) + (xy 181.875047 71.507586) + (xy 181.928737 71.283956) + (xy 181.93028 71.274209) + (xy 181.948325 71.04493) + (xy 181.948325 71.03507) + (xy 181.93028 70.805791) + (xy 181.928737 70.796044) + (xy 181.875047 70.572414) + (xy 181.871998 70.563029) + (xy 181.783988 70.350553) + (xy 181.779507 70.341759) + (xy 181.674203 70.169917) + (xy 181.663747 70.160457) + (xy 181.654969 70.164241) + (xy 180.792022 71.027188) + (xy 180.784408 71.041132) + (xy 180.054356 71.041132) + (xy 180.055592 71.038868) + (xy 180.055461 71.037035) + (xy 180.05121 71.03042) + (xy 179.188074 70.167284) + (xy 179.175694 70.160524) + (xy 179.168044 70.166251) + (xy 179.060493 70.341759) + (xy 179.056012 70.350553) + (xy 178.968002 70.563029) + (xy 178.964953 70.572414) + (xy 178.911263 70.796044) + (xy 178.90972 70.805791) + (xy 178.891675 71.03507) + (xy 178.891675 71.04493) + (xy 173.2585 71.04493) + (xy 173.2585 69.796253) + (xy 179.540457 69.796253) + (xy 179.544241 69.805031) + (xy 180.407188 70.667978) + (xy 180.421132 70.675592) + (xy 180.422965 70.675461) + (xy 180.42958 70.67121) + (xy 181.292716 69.808074) + (xy 181.299476 69.795694) + (xy 181.293749 69.788044) + (xy 181.118241 69.680493) + (xy 181.109447 69.676012) + (xy 180.896971 69.588002) + (xy 180.887586 69.584953) + (xy 180.663956 69.531263) + (xy 180.654209 69.52972) + (xy 180.42493 69.511675) + (xy 180.41507 69.511675) + (xy 180.185791 69.52972) + (xy 180.176044 69.531263) + (xy 179.952414 69.584953) + (xy 179.943029 69.588002) + (xy 179.730553 69.676012) + (xy 179.721759 69.680493) + (xy 179.549917 69.785797) + (xy 179.540457 69.796253) + (xy 173.2585 69.796253) + (xy 173.2585 68.416088) + (xy 173.278502 68.347967) + (xy 173.332158 68.301474) + (xy 173.402432 68.29137) + (xy 173.467012 68.320864) + (xy 173.495957 68.357323) + (xy 173.500642 68.366209) + (xy 173.522374 68.407427) + (xy 173.524794 68.410832) + (xy 173.691019 68.644735) + (xy 173.691024 68.644741) + (xy 173.693443 68.648145) + (xy 173.894846 68.864124) + (xy 174.123045 69.051568) + (xy 174.374029 69.207185) + (xy 174.64339 69.328241) + (xy 174.926395 69.412608) + (xy 174.930515 69.413261) + (xy 174.930517 69.413261) + (xy 175.214592 69.458255) + (xy 175.214598 69.458256) + (xy 175.218073 69.458806) + (xy 175.242632 69.459921) + (xy 175.309017 69.462936) + (xy 175.309038 69.462936) + (xy 175.310437 69.463) + (xy 175.494901 69.463) + (xy 175.714664 69.448403) + (xy 175.718763 69.447577) + (xy 175.718767 69.447576) + (xy 175.89219 69.412608) + (xy 176.004151 69.390033) + (xy 176.283375 69.293888) + (xy 176.453089 69.208902) + (xy 176.543695 69.16353) + (xy 176.543697 69.163529) + (xy 176.547431 69.161659) + (xy 176.791678 68.995668) + (xy 177.011827 68.798832) + (xy 177.103822 68.6915) + (xy 177.201289 68.577784) + (xy 177.201292 68.57778) + (xy 177.204009 68.57461) + (xy 177.206283 68.571108) + (xy 177.206287 68.571103) + (xy 177.36257 68.330449) + (xy 177.362573 68.330444) + (xy 177.364849 68.326939) + (xy 177.37468 68.306236) + (xy 177.418448 68.214059) + (xy 177.491519 68.060172) + (xy 177.528395 67.945319) + (xy 177.580515 67.782983) + (xy 177.580515 67.782982) + (xy 177.581795 67.778996) + (xy 177.612738 67.607019) + (xy 177.633351 67.492459) + (xy 177.633352 67.492454) + (xy 177.63409 67.48835) + (xy 177.637581 67.411486) + (xy 177.647297 67.197511) + (xy 177.647297 67.197506) + (xy 177.647486 67.193341) + (xy 177.645946 67.175732) + (xy 179.5572 67.175732) + (xy 179.5574 67.181062) + (xy 179.5574 67.181063) + (xy 179.561364 67.286659) + (xy 179.565854 67.406268) + (xy 179.613228 67.63205) + (xy 179.697967 67.846622) + (xy 179.817647 68.043849) + (xy 179.821144 68.047879) + (xy 179.923023 68.165284) + (xy 179.968847 68.218092) + (xy 179.984766 68.231145) + (xy 180.143115 68.360984) + (xy 180.143121 68.360988) + (xy 180.147243 68.364368) + (xy 180.347735 68.478494) + (xy 180.352751 68.480315) + (xy 180.352756 68.480317) + (xy 180.559575 68.555389) + (xy 180.559579 68.55539) + (xy 180.56459 68.557209) + (xy 180.569839 68.558158) + (xy 180.569842 68.558159) + (xy 180.787523 68.597522) + (xy 180.78753 68.597523) + (xy 180.791607 68.59826) + (xy 180.809344 68.599096) + (xy 180.814292 68.59933) + (xy 180.814299 68.59933) + (xy 180.81578 68.5994) + (xy 180.977925 68.5994) + (xy 181.044881 68.593719) + (xy 181.144562 68.585261) + (xy 181.144566 68.58526) + (xy 181.149873 68.58481) + (xy 181.155028 68.583472) + (xy 181.155034 68.583471) + (xy 181.368003 68.528195) + (xy 181.368007 68.528194) + (xy 181.373172 68.526853) + (xy 181.378038 68.524661) + (xy 181.378041 68.52466) + (xy 181.578649 68.434293) + (xy 181.583515 68.432101) + (xy 181.587935 68.429125) + (xy 181.587939 68.429123) + (xy 181.734503 68.330449) + (xy 181.774885 68.303262) + (xy 181.941812 68.144022) + (xy 181.983284 68.088282) + (xy 182.076337 67.963214) + (xy 182.076341 67.963208) + (xy 182.079521 67.958934) + (xy 182.134462 67.850873) + (xy 182.181658 67.758046) + (xy 182.181658 67.758045) + (xy 182.184077 67.753288) + (xy 182.236355 67.584926) + (xy 182.250905 67.53807) + (xy 182.250906 67.538064) + (xy 182.252489 67.532967) + (xy 182.2828 67.304268) + (xy 182.281983 67.282489) + (xy 182.274346 67.079063) + (xy 182.274146 67.073732) + (xy 182.226772 66.84795) + (xy 182.142033 66.633378) + (xy 182.022353 66.436151) + (xy 181.954971 66.3585) + (xy 181.874653 66.265941) + (xy 181.874651 66.265939) + (xy 181.871153 66.261908) + (xy 181.798495 66.202332) + (xy 181.696885 66.119016) + (xy 181.696879 66.119012) + (xy 181.692757 66.115632) + (xy 181.492265 66.001506) + (xy 181.487249 65.999685) + (xy 181.487244 65.999683) + (xy 181.280425 65.924611) + (xy 181.280421 65.92461) + (xy 181.27541 65.922791) + (xy 181.270161 65.921842) + (xy 181.270158 65.921841) + (xy 181.052477 65.882478) + (xy 181.05247 65.882477) + (xy 181.048393 65.88174) + (xy 181.030656 65.880904) + (xy 181.025708 65.88067) + (xy 181.025701 65.88067) + (xy 181.02422 65.8806) + (xy 180.862075 65.8806) + (xy 180.795119 65.886281) + (xy 180.695438 65.894739) + (xy 180.695434 65.89474) + (xy 180.690127 65.89519) + (xy 180.684972 65.896528) + (xy 180.684966 65.896529) + (xy 180.471997 65.951805) + (xy 180.471993 65.951806) + (xy 180.466828 65.953147) + (xy 180.461962 65.955339) + (xy 180.461959 65.95534) + (xy 180.363521 65.999683) + (xy 180.256485 66.047899) + (xy 180.252065 66.050875) + (xy 180.252061 66.050877) + (xy 180.1847 66.096228) + (xy 180.065115 66.176738) + (xy 179.898188 66.335978) + (xy 179.760479 66.521066) + (xy 179.758064 66.525816) + (xy 179.703377 66.633378) + (xy 179.655923 66.726712) + (xy 179.621717 66.836872) + (xy 179.589095 66.94193) + (xy 179.589094 66.941936) + (xy 179.587511 66.947033) + (xy 179.5572 67.175732) + (xy 177.645946 67.175732) + (xy 177.622112 66.903312) + (xy 177.621748 66.899151) + (xy 177.61147 66.853169) + (xy 177.55824 66.615028) + (xy 177.558238 66.615021) + (xy 177.557328 66.61095) + (xy 177.455356 66.333801) + (xy 177.433378 66.292115) + (xy 177.370978 66.173764) + (xy 177.317626 66.072573) + (xy 177.21643 65.930176) + (xy 177.148981 65.835265) + (xy 177.148976 65.835259) + (xy 177.146557 65.831855) + (xy 177.143713 65.828805) + (xy 177.143708 65.828799) + (xy 176.948 65.618928) + (xy 176.945154 65.615876) + (xy 176.716955 65.428432) + (xy 176.465971 65.272815) + (xy 176.19661 65.151759) + (xy 175.913605 65.067392) + (xy 175.909485 65.066739) + (xy 175.909483 65.066739) + (xy 175.625408 65.021745) + (xy 175.625402 65.021744) + (xy 175.621927 65.021194) + (xy 175.597368 65.020079) + (xy 175.530983 65.017064) + (xy 175.530962 65.017064) + (xy 175.529563 65.017) + (xy 175.345099 65.017) + (xy 175.125336 65.031597) + (xy 175.121237 65.032423) + (xy 175.121233 65.032424) + (xy 174.978639 65.061176) + (xy 174.835849 65.089967) + (xy 174.556625 65.186112) + (xy 174.552897 65.187979) + (xy 174.383483 65.272815) + (xy 174.292569 65.318341) + (xy 174.048322 65.484332) + (xy 173.828173 65.681168) + (xy 173.825456 65.684338) + (xy 173.825455 65.684339) + (xy 173.643586 65.896529) + (xy 173.635991 65.90539) + (xy 173.633717 65.908892) + (xy 173.633713 65.908897) + (xy 173.490172 66.129931) + (xy 173.436296 66.176168) + (xy 173.365975 66.185937) + (xy 173.301535 66.156137) + (xy 173.263436 66.096228) + (xy 173.2585 66.061306) + (xy 173.2585 63.72495) + (xy 173.278502 63.656829) + (xy 173.295405 63.635855) + (xy 173.586591 63.344669) + (xy 174.162001 63.344669) + (xy 174.162371 63.35149) + (xy 174.167895 63.402352) + (xy 174.171521 63.417604) + (xy 174.216676 63.538054) + (xy 174.225214 63.553649) + (xy 174.301715 63.655724) + (xy 174.314276 63.668285) + (xy 174.416351 63.744786) + (xy 174.431946 63.753324) + (xy 174.552394 63.798478) + (xy 174.567649 63.802105) + (xy 174.618514 63.807631) + (xy 174.625328 63.808) + (xy 174.672885 63.808) + (xy 174.688124 63.803525) + (xy 174.689329 63.802135) + (xy 174.691 63.794452) + (xy 174.691 63.297115) + (xy 174.686525 63.281876) + (xy 174.685135 63.280671) + (xy 174.677452 63.279) + (xy 174.180116 63.279) + (xy 174.164877 63.283475) + (xy 174.163672 63.284865) + (xy 174.162001 63.292548) + (xy 174.162001 63.344669) + (xy 173.586591 63.344669) + (xy 174.123355 62.807905) + (xy 174.185667 62.773879) + (xy 174.21245 62.771) + (xy 174.341804 62.771) + (xy 174.409925 62.791002) + (xy 174.415643 62.794974) + (xy 174.416108 62.795229) + (xy 174.423295 62.800615) + (xy 174.559684 62.851745) + (xy 174.621866 62.8585) + (xy 174.9855 62.8585) + (xy 175.053621 62.878502) + (xy 175.100114 62.932158) + (xy 175.1115 62.9845) + (xy 175.1115 63.348134) + (xy 175.118255 63.410316) + (xy 175.169385 63.546705) + (xy 175.174771 63.553891) + (xy 175.179079 63.56176) + (xy 175.177551 63.562597) + (xy 175.198674 63.619141) + (xy 175.199 63.628196) + (xy 175.199 63.789884) + (xy 175.203475 63.805123) + (xy 175.204865 63.806328) + (xy 175.212548 63.807999) + (xy 175.264669 63.807999) + (xy 175.27149 63.807629) + (xy 175.322352 63.802105) + (xy 175.337604 63.798479) + (xy 175.375058 63.784438) + (xy 175.445865 63.779255) + (xy 175.463516 63.784438) + (xy 175.509684 63.801745) + (xy 175.571866 63.8085) + (xy 176.218134 63.8085) + (xy 176.280316 63.801745) + (xy 176.416705 63.750615) + (xy 176.533261 63.663261) + (xy 176.620615 63.546705) + (xy 176.671745 63.410316) + (xy 176.6785 63.348134) + (xy 176.6785 63.016057) + (xy 176.698502 62.947936) + (xy 176.752158 62.901443) + (xy 176.822432 62.891339) + (xy 176.830697 62.89281) + (xy 176.898056 62.907128) + (xy 176.898061 62.907128) + (xy 176.904513 62.9085) + (xy 177.095487 62.9085) + (xy 177.101939 62.907128) + (xy 177.101944 62.907128) + (xy 177.189184 62.888584) + (xy 177.282288 62.868794) + (xy 177.318665 62.852598) + (xy 177.450722 62.793803) + (xy 177.450724 62.793802) + (xy 177.456752 62.791118) + (xy 177.463172 62.786454) + (xy 177.581658 62.700368) + (xy 177.611253 62.678866) + (xy 177.618412 62.670915) + (xy 177.734621 62.541852) + (xy 177.734622 62.541851) + (xy 177.73904 62.536944) + (xy 177.825963 62.38639) + (xy 177.831223 62.377279) + (xy 177.831224 62.377278) + (xy 177.834527 62.371556) + (xy 177.893542 62.189928) + (xy 177.90092 62.119736) + (xy 177.912814 62.006565) + (xy 177.913504 62) + (xy 177.893542 61.810072) + (xy 177.834527 61.628444) + (xy 177.816528 61.597268) + (xy 177.774231 61.524009) + (xy 177.73904 61.463056) + (xy 177.726498 61.449126) + (xy 177.615675 61.326045) + (xy 177.615674 61.326044) + (xy 177.611253 61.321134) + (xy 177.456752 61.208882) + (xy 177.450724 61.206198) + (xy 177.450722 61.206197) + (xy 177.288319 61.133891) + (xy 177.288318 61.133891) + (xy 177.282288 61.131206) + (xy 177.188888 61.111353) + (xy 177.101944 61.092872) + (xy 177.101939 61.092872) + (xy 177.095487 61.0915) + (xy 176.904513 61.0915) + (xy 176.898061 61.092872) + (xy 176.898056 61.092872) + (xy 176.811112 61.111353) + (xy 176.717712 61.131206) + (xy 176.711682 61.133891) + (xy 176.711681 61.133891) + (xy 176.549278 61.206197) + (xy 176.549276 61.206198) + (xy 176.543248 61.208882) + (xy 176.537907 61.212762) + (xy 176.537906 61.212763) + (xy 176.427443 61.293019) + (xy 176.360575 61.316878) + (xy 176.309153 61.309065) + (xy 176.287718 61.301029) + (xy 176.287711 61.301027) + (xy 176.280316 61.298255) + (xy 176.218134 61.2915) + (xy 175.571866 61.2915) + (xy 175.568469 61.291869) + (xy 175.517534 61.297402) + (xy 175.517532 61.297402) + (xy 175.509684 61.298255) + (xy 175.502291 61.301027) + (xy 175.502289 61.301027) + (xy 175.464229 61.315295) + (xy 175.393422 61.320478) + (xy 175.375771 61.315295) + (xy 175.337711 61.301027) + (xy 175.337709 61.301027) + (xy 175.330316 61.298255) + (xy 175.322468 61.297402) + (xy 175.322466 61.297402) + (xy 175.271531 61.291869) + (xy 175.268134 61.2915) + (xy 174.621866 61.2915) + (xy 174.559684 61.298255) + (xy 174.423295 61.349385) + (xy 174.41611 61.35477) + (xy 174.416108 61.354771) + (xy 174.367333 61.391326) + (xy 174.300826 61.416174) + (xy 174.291768 61.4165) + (xy 174.007056 61.4165) + (xy 173.9952 61.415941) + (xy 173.995197 61.415941) + (xy 173.987463 61.414212) + (xy 173.916631 61.416438) + (xy 173.912673 61.4165) + (xy 173.883568 61.4165) + (xy 173.879168 61.417056) + (xy 173.867336 61.417988) + (xy 173.821169 61.419438) + (xy 173.800579 61.42542) + (xy 173.781218 61.42943) + (xy 173.778017 61.429834) + (xy 173.767796 61.431125) + (xy 173.767795 61.431125) + (xy 173.759936 61.432118) + (xy 173.752571 61.435034) + (xy 173.752567 61.435035) + (xy 173.716979 61.449126) + (xy 173.705769 61.452965) + (xy 173.6614 61.465855) + (xy 173.642935 61.476775) + (xy 173.625195 61.485466) + (xy 173.605244 61.493365) + (xy 173.567874 61.520516) + (xy 173.557952 61.527033) + (xy 173.525023 61.546507) + (xy 173.525019 61.54651) + (xy 173.518193 61.550547) + (xy 173.503029 61.565711) + (xy 173.487996 61.578551) + (xy 173.470643 61.591159) + (xy 173.457786 61.606701) + (xy 173.441198 61.626752) + (xy 173.433208 61.635532) + (xy 172.192395 62.876345) + (xy 172.183615 62.884335) + (xy 172.183613 62.884337) + (xy 172.17692 62.888584) + (xy 172.171494 62.894362) + (xy 172.171493 62.894363) + (xy 172.128396 62.940257) + (xy 172.125641 62.943099) + (xy 172.105073 62.963667) + (xy 172.102356 62.96717) + (xy 172.094648 62.976195) + (xy 172.063028 63.009867) + (xy 172.059207 63.016818) + (xy 172.059206 63.016819) + (xy 172.052697 63.028658) + (xy 172.041843 63.045182) + (xy 172.034018 63.055271) + (xy 172.028696 63.062132) + (xy 172.025549 63.069404) + (xy 172.025548 63.069406) + (xy 172.010346 63.104535) + (xy 172.005124 63.115195) + (xy 171.990472 63.141847) + (xy 171.982876 63.155663) + (xy 171.977541 63.176441) + (xy 171.971142 63.195131) + (xy 171.96262 63.214824) + (xy 171.96138 63.222655) + (xy 171.955394 63.260448) + (xy 171.952987 63.272071) + (xy 171.948733 63.28864) + (xy 171.9415 63.316812) + (xy 171.9415 63.338259) + (xy 171.939949 63.357969) + (xy 171.936594 63.379152) + (xy 171.93734 63.387043) + (xy 171.940941 63.425138) + (xy 171.9415 63.436996) + (xy 171.9415 82.017944) + (xy 171.940941 82.0298) + (xy 171.939212 82.037537) + (xy 171.939461 82.045459) + (xy 171.941438 82.108369) + (xy 171.9415 82.112327) + (xy 171.9415 82.141432) + (xy 171.942056 82.145832) + (xy 171.942988 82.157664) + (xy 171.944438 82.203831) + (xy 171.949204 82.220233) + (xy 171.950419 82.224416) + (xy 171.95443 82.243782) + (xy 171.957118 82.265064) + (xy 171.960034 82.272429) + (xy 171.960035 82.272433) + (xy 171.974126 82.308021) + (xy 171.977965 82.319231) + (xy 171.990855 82.3636) + (xy 172.001775 82.382065) + (xy 172.010466 82.399805) + (xy 172.018365 82.419756) + (xy 172.032184 82.438776) + (xy 172.045516 82.457126) + (xy 172.052033 82.467048) + (xy 172.071507 82.499977) + (xy 172.07151 82.499981) + (xy 172.075547 82.506807) + (xy 172.090711 82.521971) + (xy 172.103551 82.537004) + (xy 172.116159 82.554357) + (xy 172.151752 82.583802) + (xy 172.160532 82.591792) + (xy 173.34198 83.773239) + (xy 174.504595 84.935854) + (xy 174.53862 84.998166) + (xy 174.5415 85.024949) + (xy 174.5415 85.15034) + (xy 174.521498 85.218461) + (xy 174.467842 85.264954) + (xy 174.397568 85.275058) + (xy 174.332988 85.245564) + (xy 174.326405 85.239435) + (xy 173.80645 84.71948) + (xy 173.800596 84.713215) + (xy 173.780359 84.690017) + (xy 173.762561 84.669615) + (xy 173.71028 84.632871) + (xy 173.704986 84.628939) + (xy 173.660693 84.594209) + (xy 173.654718 84.589524) + (xy 173.647802 84.586401) + (xy 173.645516 84.585017) + (xy 173.630835 84.576643) + (xy 173.628475 84.575378) + (xy 173.622261 84.57101) + (xy 173.615182 84.56825) + (xy 173.61518 84.568249) + (xy 173.562725 84.547798) + (xy 173.556656 84.545247) + (xy 173.498427 84.518955) + (xy 173.49096 84.517571) + (xy 173.488405 84.51677) + (xy 173.472152 84.512141) + (xy 173.469572 84.511478) + (xy 173.462491 84.508718) + (xy 173.45496 84.507727) + (xy 173.454958 84.507726) + (xy 173.425339 84.503827) + (xy 173.399139 84.500378) + (xy 173.392641 84.499348) + (xy 173.329814 84.487704) + (xy 173.322234 84.488141) + (xy 173.322233 84.488141) + (xy 173.267608 84.491291) + (xy 173.260354 84.4915) + (xy 172.511405 84.4915) + (xy 172.443284 84.471498) + (xy 172.437344 84.467436) + (xy 172.362094 84.412763) + (xy 172.362093 84.412762) + (xy 172.356752 84.408882) + (xy 172.350724 84.406198) + (xy 172.350722 84.406197) + (xy 172.188319 84.333891) + (xy 172.188318 84.333891) + (xy 172.182288 84.331206) + (xy 172.088887 84.311353) + (xy 172.001944 84.292872) + (xy 172.001939 84.292872) + (xy 171.995487 84.2915) + (xy 171.804513 84.2915) + (xy 171.798061 84.292872) + (xy 171.798056 84.292872) + (xy 171.711113 84.311353) + (xy 171.617712 84.331206) + (xy 171.611682 84.333891) + (xy 171.611681 84.333891) + (xy 171.449278 84.406197) + (xy 171.449276 84.406198) + (xy 171.443248 84.408882) + (xy 171.437907 84.412762) + (xy 171.437906 84.412763) + (xy 171.426777 84.420849) + (xy 171.288747 84.521134) + (xy 171.284326 84.526044) + (xy 171.284325 84.526045) + (xy 171.174271 84.648273) + (xy 171.16096 84.663056) + (xy 171.065473 84.828444) + (xy 171.006458 85.010072) + (xy 170.986496 85.2) + (xy 170.987186 85.206565) + (xy 171.004783 85.373987) + (xy 171.006458 85.389928) + (xy 171.065473 85.571556) + (xy 171.16096 85.736944) + (xy 171.165378 85.741851) + (xy 171.165379 85.741852) + (xy 171.225733 85.808882) + (xy 171.288747 85.878866) + (xy 171.329535 85.9085) + (xy 171.430916 85.982158) + (xy 171.443248 85.991118) + (xy 171.449276 85.993802) + (xy 171.449278 85.993803) + (xy 171.604824 86.063056) + (xy 171.617712 86.068794) + (xy 171.711112 86.088647) + (xy 171.798056 86.107128) + (xy 171.798061 86.107128) + (xy 171.804513 86.1085) + (xy 171.995487 86.1085) + (xy 172.001939 86.107128) + (xy 172.001944 86.107128) + (xy 172.088888 86.088647) + (xy 172.182288 86.068794) + (xy 172.195176 86.063056) + (xy 172.350722 85.993803) + (xy 172.350724 85.993802) + (xy 172.356752 85.991118) + (xy 172.369085 85.982158) + (xy 172.437344 85.932564) + (xy 172.504211 85.908706) + (xy 172.511405 85.9085) + (xy 172.523059 85.9085) + (xy 172.59118 85.928502) + (xy 172.637673 85.982158) + (xy 172.647777 86.052432) + (xy 172.618283 86.117012) + (xy 172.609995 86.124734) + (xy 172.610269 86.125008) + (xy 172.603919 86.131358) + (xy 172.596739 86.136739) + (xy 172.509385 86.253295) + (xy 172.506604 86.260714) + (xy 172.484844 86.288818) + (xy 172.481621 86.291159) + (xy 172.476566 86.29727) + (xy 172.452176 86.326752) + (xy 172.444186 86.335532) + (xy 171.750123 87.029595) + (xy 171.687811 87.063621) + (xy 171.661028 87.0665) + (xy 152.977102 87.0665) + (xy 152.908981 87.046498) + (xy 152.862488 86.992842) + (xy 152.852384 86.922568) + (xy 152.857269 86.901564) + (xy 152.891502 86.796206) + (xy 152.893542 86.789928) + (xy 152.913504 86.6) + (xy 152.912814 86.593435) + (xy 152.894232 86.416635) + (xy 152.894232 86.416633) + (xy 152.893542 86.410072) + (xy 152.834527 86.228444) + (xy 152.73904 86.063056) + (xy 152.723894 86.046234) + (xy 152.615675 85.926045) + (xy 152.615674 85.926044) + (xy 152.611253 85.921134) + (xy 152.512157 85.849136) + (xy 152.462094 85.812763) + (xy 152.462093 85.812762) + (xy 152.456752 85.808882) + (xy 152.450724 85.806198) + (xy 152.450722 85.806197) + (xy 152.288319 85.733891) + (xy 152.288318 85.733891) + (xy 152.282288 85.731206) + (xy 152.187274 85.71101) + (xy 152.101944 85.692872) + (xy 152.101939 85.692872) + (xy 152.095487 85.6915) + (xy 151.904513 85.6915) + (xy 151.898061 85.692872) + (xy 151.898056 85.692872) + (xy 151.812726 85.71101) + (xy 151.717712 85.731206) + (xy 151.711682 85.733891) + (xy 151.711681 85.733891) + (xy 151.549278 85.806197) + (xy 151.549276 85.806198) + (xy 151.543248 85.808882) + (xy 151.537907 85.812762) + (xy 151.537906 85.812763) + (xy 151.456985 85.871556) + (xy 151.388747 85.921134) + (xy 151.384334 85.926036) + (xy 151.384332 85.926037) + (xy 151.362926 85.949811) + (xy 151.30248 85.98705) + (xy 151.26929 85.9915) + (xy 150.861866 85.9915) + (xy 150.858469 85.991869) + (xy 150.807534 85.997402) + (xy 150.807532 85.997402) + (xy 150.799684 85.998255) + (xy 150.792291 86.001027) + (xy 150.792289 86.001027) + (xy 150.754229 86.015295) + (xy 150.683422 86.020478) + (xy 150.665771 86.015295) + (xy 150.627711 86.001027) + (xy 150.627709 86.001027) + (xy 150.620316 85.998255) + (xy 150.612468 85.997402) + (xy 150.612466 85.997402) + (xy 150.561531 85.991869) + (xy 150.558134 85.9915) + (xy 149.911866 85.9915) + (xy 149.849684 85.998255) + (xy 149.713295 86.049385) + (xy 149.596739 86.136739) + (xy 149.509385 86.253295) + (xy 149.506604 86.260714) + (xy 149.484844 86.288818) + (xy 149.481621 86.291159) + (xy 149.476566 86.29727) + (xy 149.452176 86.326752) + (xy 149.444186 86.335532) + (xy 148.750123 87.029595) + (xy 148.687811 87.063621) + (xy 148.661028 87.0665) + (xy 130.577102 87.0665) + (xy 130.508981 87.046498) + (xy 130.462488 86.992842) + (xy 130.452384 86.922568) + (xy 130.457269 86.901564) + (xy 130.491502 86.796206) + (xy 130.493542 86.789928) + (xy 130.513504 86.6) + (xy 130.512814 86.593435) + (xy 130.494232 86.416635) + (xy 130.494232 86.416633) + (xy 130.493542 86.410072) + (xy 130.434527 86.228444) + (xy 130.33904 86.063056) + (xy 130.323894 86.046234) + (xy 130.215675 85.926045) + (xy 130.215674 85.926044) + (xy 130.211253 85.921134) + (xy 130.112157 85.849136) + (xy 130.062094 85.812763) + (xy 130.062093 85.812762) + (xy 130.056752 85.808882) + (xy 130.050724 85.806198) + (xy 130.050722 85.806197) + (xy 129.888319 85.733891) + (xy 129.888318 85.733891) + (xy 129.882288 85.731206) + (xy 129.787274 85.71101) + (xy 129.701944 85.692872) + (xy 129.701939 85.692872) + (xy 129.695487 85.6915) + (xy 129.504513 85.6915) + (xy 129.498061 85.692872) + (xy 129.498056 85.692872) + (xy 129.412726 85.71101) + (xy 129.317712 85.731206) + (xy 129.311682 85.733891) + (xy 129.311681 85.733891) + (xy 129.149278 85.806197) + (xy 129.149276 85.806198) + (xy 129.143248 85.808882) + (xy 129.137907 85.812762) + (xy 129.137906 85.812763) + (xy 129.087843 85.849136) + (xy 128.988747 85.921134) + (xy 128.899298 86.020478) + (xy 128.895397 86.02481) + (xy 128.834951 86.06205) + (xy 128.801761 86.0665) + (xy 128.77755 86.0665) + (xy 128.719842 86.051736) + (xy 128.716705 86.049385) + (xy 128.580316 85.998255) + (xy 128.518134 85.9915) + (xy 128.24395 85.9915) + (xy 128.175829 85.971498) + (xy 128.129336 85.917842) + (xy 128.119232 85.847568) + (xy 128.148726 85.782988) + (xy 128.154843 85.776417) + (xy 129.00761 84.923649) + (xy 129.016381 84.915669) + (xy 129.01639 84.915661) + (xy 129.02308 84.911416) + (xy 129.07162 84.859726) + (xy 129.074374 84.856885) + (xy 129.094926 84.836333) + (xy 129.097638 84.832837) + (xy 129.105349 84.823808) + (xy 129.131544 84.795913) + (xy 129.136972 84.790133) + (xy 129.141412 84.782056) + (xy 129.147301 84.771345) + (xy 129.158158 84.754816) + (xy 129.159188 84.753489) + (xy 129.171304 84.737869) + (xy 129.189657 84.695456) + (xy 129.194868 84.684819) + (xy 129.217124 84.644337) + (xy 129.220554 84.63098) + (xy 129.222457 84.623566) + (xy 129.228859 84.604864) + (xy 129.237379 84.585177) + (xy 129.240408 84.566055) + (xy 129.244605 84.539552) + (xy 129.247013 84.527926) + (xy 129.256529 84.490865) + (xy 129.256529 84.490864) + (xy 129.2585 84.483188) + (xy 129.2585 84.461742) + (xy 129.260051 84.442031) + (xy 129.262166 84.428678) + (xy 129.263406 84.420849) + (xy 129.259059 84.374864) + (xy 129.2585 84.363006) + (xy 129.2585 82.186423) + (xy 130.978703 82.186423) + (xy 130.986227 82.196854) + (xy 131.125483 82.30902) + (xy 131.131657 82.313408) + (xy 131.402271 82.482178) + (xy 131.408931 82.485794) + (xy 131.697852 82.620827) + (xy 131.704905 82.62362) + (xy 132.00797 82.72297) + (xy 132.015282 82.724888) + (xy 132.328092 82.787109) + (xy 132.33559 82.788137) + (xy 132.65361 82.812328) + (xy 132.661173 82.812446) + (xy 132.979785 82.798257) + (xy 132.987326 82.797465) + (xy 133.301924 82.745101) + (xy 133.309302 82.743411) + (xy 133.615355 82.653625) + (xy 133.62245 82.651071) + (xy 133.915496 82.525169) + (xy 133.922263 82.521765) + (xy 134.198042 82.36158) + (xy 134.204349 82.35739) + (xy 134.414305 82.198889) + (xy 134.422761 82.187496) + (xy 134.416045 82.175256) + (xy 132.71281 80.47202) + (xy 132.698869 80.464408) + (xy 132.697034 80.464539) + (xy 132.69042 80.46879) + (xy 130.985818 82.173393) + (xy 130.978703 82.186423) + (xy 129.2585 82.186423) + (xy 129.2585 80.075383) + (xy 129.987388 80.075383) + (xy 130.003245 80.393914) + (xy 130.004076 80.401443) + (xy 130.058085 80.715759) + (xy 130.059818 80.723146) + (xy 130.151196 81.028695) + (xy 130.153799 81.035808) + (xy 130.281227 81.328173) + (xy 130.284669 81.334929) + (xy 130.446296 81.609865) + (xy 130.450519 81.61615) + (xy 130.601463 81.813934) + (xy 130.612989 81.822396) + (xy 130.625054 81.815735) + (xy 132.32798 80.11281) + (xy 132.334357 80.101131) + (xy 133.064408 80.101131) + (xy 133.064539 80.102966) + (xy 133.06879 80.10958) + (xy 134.773285 81.814074) + (xy 134.787229 81.821688) + (xy 134.80651 81.820309) + (xy 134.875884 81.8354) + (xy 134.926087 81.885602) + (xy 134.9415 81.945988) + (xy 134.9415 82.078928) + (xy 134.940145 82.091058) + (xy 134.940627 82.091097) + (xy 134.939907 82.100044) + (xy 134.937926 82.1088) + (xy 134.941258 82.162508) + (xy 134.9415 82.17031) + (xy 134.9415 82.186513) + (xy 134.942136 82.190953) + (xy 134.942984 82.196878) + (xy 134.944013 82.206928) + (xy 134.944396 82.213094) + (xy 134.946945 82.254177) + (xy 134.949994 82.262623) + (xy 134.950593 82.265514) + (xy 134.954822 82.28248) + (xy 134.955648 82.285305) + (xy 134.95692 82.294187) + (xy 134.976522 82.337298) + (xy 134.980327 82.346647) + (xy 134.996404 82.391181) + (xy 135.001699 82.398429) + (xy 135.00308 82.401027) + (xy 135.011915 82.416145) + (xy 135.013494 82.418614) + (xy 135.017208 82.426782) + (xy 135.040953 82.45434) + (xy 135.048115 82.462652) + (xy 135.054401 82.470569) + (xy 135.059548 82.477615) + (xy 135.059553 82.47762) + (xy 135.062425 82.481552) + (xy 135.0734 82.492527) + (xy 135.079758 82.499375) + (xy 135.094833 82.51687) + (xy 135.112287 82.537127) + (xy 135.119822 82.542011) + (xy 135.126066 82.547458) + (xy 135.137931 82.557058) + (xy 135.340177 82.759304) + (xy 135.3478 82.768844) + (xy 135.348168 82.76853) + (xy 135.353986 82.775366) + (xy 135.358776 82.782958) + (xy 135.365504 82.7889) + (xy 135.399125 82.818593) + (xy 135.404812 82.823939) + (xy 135.416255 82.835382) + (xy 135.42302 82.840452) + (xy 135.42463 82.841659) + (xy 135.432459 82.848033) + (xy 135.467951 82.879378) + (xy 135.476074 82.883192) + (xy 135.478562 82.884826) + (xy 135.493523 82.893814) + (xy 135.496108 82.895229) + (xy 135.503295 82.900616) + (xy 135.547642 82.917241) + (xy 135.556958 82.921167) + (xy 135.5998 82.941281) + (xy 135.608669 82.942662) + (xy 135.611502 82.943528) + (xy 135.628389 82.947958) + (xy 135.631274 82.948592) + (xy 135.639684 82.951745) + (xy 135.668842 82.953912) + (xy 135.686906 82.955254) + (xy 135.696952 82.956408) + (xy 135.705575 82.957751) + (xy 135.705578 82.957751) + (xy 135.710386 82.9585) + (xy 135.725906 82.9585) + (xy 135.735243 82.958846) + (xy 135.784941 82.962539) + (xy 135.79372 82.960665) + (xy 135.801978 82.960102) + (xy 135.817161 82.9585) + (xy 165.578928 82.9585) + (xy 165.591058 82.959855) + (xy 165.591097 82.959373) + (xy 165.600044 82.960093) + (xy 165.6088 82.962074) + (xy 165.662508 82.958742) + (xy 165.67031 82.9585) + (xy 165.686513 82.9585) + (xy 165.695429 82.957223) + (xy 165.696878 82.957016) + (xy 165.706928 82.955987) + (xy 165.745216 82.953611) + (xy 165.754177 82.953055) + (xy 165.762623 82.950006) + (xy 165.765514 82.949407) + (xy 165.78248 82.945178) + (xy 165.785305 82.944352) + (xy 165.794187 82.94308) + (xy 165.837298 82.923478) + (xy 165.846649 82.919672) + (xy 165.882735 82.906645) + (xy 165.891181 82.903596) + (xy 165.898429 82.898301) + (xy 165.901027 82.89692) + (xy 165.916145 82.888085) + (xy 165.918614 82.886506) + (xy 165.926782 82.882792) + (xy 165.962653 82.851884) + (xy 165.970569 82.845599) + (xy 165.977615 82.840452) + (xy 165.97762 82.840447) + (xy 165.981552 82.837575) + (xy 165.992527 82.8266) + (xy 165.999375 82.820242) + (xy 166.030323 82.793576) + (xy 166.030324 82.793575) + (xy 166.037127 82.787713) + (xy 166.042011 82.780178) + (xy 166.047458 82.773934) + (xy 166.057058 82.762069) + (xy 166.259304 82.559823) + (xy 166.268844 82.5522) + (xy 166.26853 82.551832) + (xy 166.275366 82.546014) + (xy 166.282958 82.541224) + (xy 166.318593 82.500875) + (xy 166.323939 82.495188) + (xy 166.335382 82.483745) + (xy 166.341659 82.47537) + (xy 166.348033 82.467541) + (xy 166.379378 82.432049) + (xy 166.383192 82.423926) + (xy 166.384826 82.421438) + (xy 166.393814 82.406477) + (xy 166.395229 82.403892) + (xy 166.400616 82.396705) + (xy 166.417241 82.352357) + (xy 166.421168 82.34304) + (xy 166.427694 82.329141) + (xy 166.441281 82.3002) + (xy 166.442662 82.291331) + (xy 166.443528 82.288498) + (xy 166.447958 82.271611) + (xy 166.448592 82.268726) + (xy 166.451745 82.260316) + (xy 166.453912 82.231158) + (xy 166.455254 82.213094) + (xy 166.456408 82.203048) + (xy 166.457751 82.194425) + (xy 166.457751 82.194422) + (xy 166.4585 82.189614) + (xy 166.4585 82.186423) + (xy 166.978703 82.186423) + (xy 166.986227 82.196854) + (xy 167.125483 82.30902) + (xy 167.131657 82.313408) + (xy 167.402271 82.482178) + (xy 167.408931 82.485794) + (xy 167.697852 82.620827) + (xy 167.704905 82.62362) + (xy 168.00797 82.72297) + (xy 168.015282 82.724888) + (xy 168.328092 82.787109) + (xy 168.33559 82.788137) + (xy 168.65361 82.812328) + (xy 168.661173 82.812446) + (xy 168.979785 82.798257) + (xy 168.987326 82.797465) + (xy 169.301924 82.745101) + (xy 169.309302 82.743411) + (xy 169.615355 82.653625) + (xy 169.62245 82.651071) + (xy 169.915496 82.525169) + (xy 169.922263 82.521765) + (xy 170.198042 82.36158) + (xy 170.204349 82.35739) + (xy 170.414305 82.198889) + (xy 170.422761 82.187496) + (xy 170.416045 82.175256) + (xy 168.71281 80.47202) + (xy 168.698869 80.464408) + (xy 168.697034 80.464539) + (xy 168.69042 80.46879) + (xy 166.985818 82.173393) + (xy 166.978703 82.186423) + (xy 166.4585 82.186423) + (xy 166.4585 82.174094) + (xy 166.458846 82.164757) + (xy 166.461874 82.124007) + (xy 166.462539 82.115059) + (xy 166.460665 82.10628) + (xy 166.460102 82.098022) + (xy 166.4585 82.082839) + (xy 166.4585 81.947726) + (xy 166.478502 81.879605) + (xy 166.532158 81.833112) + (xy 166.592323 81.821969) + (xy 166.611592 81.823168) + (xy 166.625054 81.815735) + (xy 168.32798 80.11281) + (xy 168.334357 80.101131) + (xy 169.064408 80.101131) + (xy 169.064539 80.102966) + (xy 169.06879 80.10958) + (xy 170.773285 81.814074) + (xy 170.786408 81.82124) + (xy 170.796709 81.813851) + (xy 170.900751 81.686055) + (xy 170.905164 81.679914) + (xy 171.075349 81.410187) + (xy 171.079005 81.403536) + (xy 171.215544 81.115335) + (xy 171.218375 81.108295) + (xy 171.319306 80.805767) + (xy 171.32127 80.798433) + (xy 171.385122 80.485989) + (xy 171.386194 80.478465) + (xy 171.412173 80.159051) + (xy 171.412378 80.154576) + (xy 171.412927 80.102221) + (xy 171.412817 80.097789) + (xy 171.393529 79.777853) + (xy 171.392621 79.770351) + (xy 171.335319 79.456593) + (xy 171.333518 79.44926) + (xy 171.238935 79.144655) + (xy 171.236263 79.137583) + (xy 171.105781 78.84657) + (xy 171.102264 78.839843) + (xy 170.937771 78.566621) + (xy 170.933481 78.560377) + (xy 170.797991 78.386647) + (xy 170.786199 78.378178) + (xy 170.774486 78.384725) + (xy 169.07202 80.08719) + (xy 169.064408 80.101131) + (xy 168.334357 80.101131) + (xy 168.335592 80.098869) + (xy 168.335461 80.097034) + (xy 168.33121 80.09042) + (xy 166.626445 78.385656) + (xy 166.6125 78.378041) + (xy 166.593488 78.379401) + (xy 166.524114 78.364309) + (xy 166.473912 78.314107) + (xy 166.4585 78.253722) + (xy 166.4585 78.013423) + (xy 166.977917 78.013423) + (xy 166.98452 78.025309) + (xy 168.68719 79.72798) + (xy 168.701131 79.735592) + (xy 168.702966 79.735461) + (xy 168.70958 79.73121) + (xy 170.414559 78.02623) + (xy 170.421571 78.013389) + (xy 170.413777 78.002701) + (xy 170.251298 77.874613) + (xy 170.245075 77.870288) + (xy 169.972702 77.704357) + (xy 169.966025 77.700822) + (xy 169.675686 77.568813) + (xy 169.668616 77.566099) + (xy 169.364537 77.469932) + (xy 169.357186 77.468085) + (xy 169.043746 77.409142) + (xy 169.036237 77.408194) + (xy 168.717989 77.387335) + (xy 168.710424 77.387295) + (xy 168.391964 77.404821) + (xy 168.38445 77.40569) + (xy 168.070405 77.461348) + (xy 168.063044 77.463115) + (xy 167.75798 77.556092) + (xy 167.75086 77.55874) + (xy 167.459182 77.68769) + (xy 167.452445 77.691167) + (xy 167.178355 77.854233) + (xy 167.172091 77.85849) + (xy 166.986385 78.001762) + (xy 166.977917 78.013423) + (xy 166.4585 78.013423) + (xy 166.4585 67.175732) + (xy 168.5572 67.175732) + (xy 168.5574 67.181062) + (xy 168.5574 67.181063) + (xy 168.561364 67.286659) + (xy 168.565854 67.406268) + (xy 168.613228 67.63205) + (xy 168.697967 67.846622) + (xy 168.817647 68.043849) + (xy 168.821144 68.047879) + (xy 168.923023 68.165284) + (xy 168.968847 68.218092) + (xy 168.984766 68.231145) + (xy 169.143115 68.360984) + (xy 169.143121 68.360988) + (xy 169.147243 68.364368) + (xy 169.347735 68.478494) + (xy 169.352751 68.480315) + (xy 169.352756 68.480317) + (xy 169.559575 68.555389) + (xy 169.559579 68.55539) + (xy 169.56459 68.557209) + (xy 169.569839 68.558158) + (xy 169.569842 68.558159) + (xy 169.787523 68.597522) + (xy 169.78753 68.597523) + (xy 169.791607 68.59826) + (xy 169.809344 68.599096) + (xy 169.814292 68.59933) + (xy 169.814299 68.59933) + (xy 169.81578 68.5994) + (xy 169.977925 68.5994) + (xy 170.044881 68.593719) + (xy 170.144562 68.585261) + (xy 170.144566 68.58526) + (xy 170.149873 68.58481) + (xy 170.155028 68.583472) + (xy 170.155034 68.583471) + (xy 170.368003 68.528195) + (xy 170.368007 68.528194) + (xy 170.373172 68.526853) + (xy 170.378038 68.524661) + (xy 170.378041 68.52466) + (xy 170.578649 68.434293) + (xy 170.583515 68.432101) + (xy 170.587935 68.429125) + (xy 170.587939 68.429123) + (xy 170.734503 68.330449) + (xy 170.774885 68.303262) + (xy 170.941812 68.144022) + (xy 170.983284 68.088282) + (xy 171.076337 67.963214) + (xy 171.076341 67.963208) + (xy 171.079521 67.958934) + (xy 171.134462 67.850873) + (xy 171.181658 67.758046) + (xy 171.181658 67.758045) + (xy 171.184077 67.753288) + (xy 171.236355 67.584926) + (xy 171.250905 67.53807) + (xy 171.250906 67.538064) + (xy 171.252489 67.532967) + (xy 171.2828 67.304268) + (xy 171.281983 67.282489) + (xy 171.274346 67.079063) + (xy 171.274146 67.073732) + (xy 171.226772 66.84795) + (xy 171.142033 66.633378) + (xy 171.022353 66.436151) + (xy 170.954971 66.3585) + (xy 170.874653 66.265941) + (xy 170.874651 66.265939) + (xy 170.871153 66.261908) + (xy 170.798495 66.202332) + (xy 170.696885 66.119016) + (xy 170.696879 66.119012) + (xy 170.692757 66.115632) + (xy 170.492265 66.001506) + (xy 170.487249 65.999685) + (xy 170.487244 65.999683) + (xy 170.280425 65.924611) + (xy 170.280421 65.92461) + (xy 170.27541 65.922791) + (xy 170.270161 65.921842) + (xy 170.270158 65.921841) + (xy 170.052477 65.882478) + (xy 170.05247 65.882477) + (xy 170.048393 65.88174) + (xy 170.030656 65.880904) + (xy 170.025708 65.88067) + (xy 170.025701 65.88067) + (xy 170.02422 65.8806) + (xy 169.862075 65.8806) + (xy 169.795119 65.886281) + (xy 169.695438 65.894739) + (xy 169.695434 65.89474) + (xy 169.690127 65.89519) + (xy 169.684972 65.896528) + (xy 169.684966 65.896529) + (xy 169.471997 65.951805) + (xy 169.471993 65.951806) + (xy 169.466828 65.953147) + (xy 169.461962 65.955339) + (xy 169.461959 65.95534) + (xy 169.363521 65.999683) + (xy 169.256485 66.047899) + (xy 169.252065 66.050875) + (xy 169.252061 66.050877) + (xy 169.1847 66.096228) + (xy 169.065115 66.176738) + (xy 168.898188 66.335978) + (xy 168.760479 66.521066) + (xy 168.758064 66.525816) + (xy 168.703377 66.633378) + (xy 168.655923 66.726712) + (xy 168.621717 66.836872) + (xy 168.589095 66.94193) + (xy 168.589094 66.941936) + (xy 168.587511 66.947033) + (xy 168.5572 67.175732) + (xy 166.4585 67.175732) + (xy 166.4585 56.186423) + (xy 166.978703 56.186423) + (xy 166.986227 56.196854) + (xy 167.125483 56.30902) + (xy 167.131657 56.313408) + (xy 167.402271 56.482178) + (xy 167.408931 56.485794) + (xy 167.697852 56.620827) + (xy 167.704905 56.62362) + (xy 168.00797 56.72297) + (xy 168.015282 56.724888) + (xy 168.328092 56.787109) + (xy 168.33559 56.788137) + (xy 168.65361 56.812328) + (xy 168.661173 56.812446) + (xy 168.979785 56.798257) + (xy 168.987326 56.797465) + (xy 169.301924 56.745101) + (xy 169.309302 56.743411) + (xy 169.615355 56.653625) + (xy 169.62245 56.651071) + (xy 169.915496 56.525169) + (xy 169.922263 56.521765) + (xy 170.198042 56.36158) + (xy 170.204349 56.35739) + (xy 170.414305 56.198889) + (xy 170.422761 56.187496) + (xy 170.416045 56.175256) + (xy 168.71281 54.47202) + (xy 168.698869 54.464408) + (xy 168.697034 54.464539) + (xy 168.69042 54.46879) + (xy 166.985818 56.173393) + (xy 166.978703 56.186423) + (xy 166.4585 56.186423) + (xy 166.4585 55.947726) + (xy 166.478502 55.879605) + (xy 166.532158 55.833112) + (xy 166.592323 55.821969) + (xy 166.611592 55.823168) + (xy 166.625054 55.815735) + (xy 168.32798 54.11281) + (xy 168.334357 54.101131) + (xy 169.064408 54.101131) + (xy 169.064539 54.102966) + (xy 169.06879 54.10958) + (xy 170.773285 55.814074) + (xy 170.786408 55.82124) + (xy 170.796709 55.813851) + (xy 170.900751 55.686055) + (xy 170.905164 55.679914) + (xy 171.075349 55.410187) + (xy 171.079005 55.403536) + (xy 171.215544 55.115335) + (xy 171.218375 55.108295) + (xy 171.255698 54.996423) + (xy 189.578703 54.996423) + (xy 189.586227 55.006854) + (xy 189.725483 55.11902) + (xy 189.731657 55.123408) + (xy 190.002271 55.292178) + (xy 190.008931 55.295794) + (xy 190.297852 55.430827) + (xy 190.304905 55.43362) + (xy 190.60797 55.53297) + (xy 190.615282 55.534888) + (xy 190.928092 55.597109) + (xy 190.93559 55.598137) + (xy 191.25361 55.622328) + (xy 191.261173 55.622446) + (xy 191.579785 55.608257) + (xy 191.587326 55.607465) + (xy 191.901924 55.555101) + (xy 191.909302 55.553411) + (xy 192.215355 55.463625) + (xy 192.22245 55.461071) + (xy 192.515496 55.335169) + (xy 192.522263 55.331765) + (xy 192.798042 55.17158) + (xy 192.804349 55.16739) + (xy 193.014305 55.008889) + (xy 193.022761 54.997496) + (xy 193.016045 54.985256) + (xy 191.31281 53.28202) + (xy 191.298869 53.274408) + (xy 191.297034 53.274539) + (xy 191.29042 53.27879) + (xy 189.585818 54.983393) + (xy 189.578703 54.996423) + (xy 171.255698 54.996423) + (xy 171.319306 54.805767) + (xy 171.32127 54.798433) + (xy 171.385122 54.485989) + (xy 171.386194 54.478465) + (xy 171.412173 54.159051) + (xy 171.412378 54.154576) + (xy 171.412927 54.102221) + (xy 171.412817 54.097789) + (xy 171.393529 53.777853) + (xy 171.392621 53.770351) + (xy 171.335319 53.456593) + (xy 171.333518 53.44926) + (xy 171.238935 53.144655) + (xy 171.236263 53.137583) + (xy 171.123184 52.885383) + (xy 188.587388 52.885383) + (xy 188.603245 53.203914) + (xy 188.604076 53.211443) + (xy 188.658085 53.525759) + (xy 188.659818 53.533146) + (xy 188.751196 53.838695) + (xy 188.753799 53.845808) + (xy 188.881227 54.138173) + (xy 188.884669 54.144929) + (xy 189.046296 54.419865) + (xy 189.050519 54.42615) + (xy 189.201463 54.623934) + (xy 189.212989 54.632396) + (xy 189.225054 54.625735) + (xy 190.92798 52.92281) + (xy 190.935592 52.908869) + (xy 190.935461 52.907034) + (xy 190.93121 52.90042) + (xy 189.226445 51.195656) + (xy 189.21351 51.188592) + (xy 189.202949 51.196252) + (xy 189.082766 51.347072) + (xy 189.07841 51.35327) + (xy 188.911059 51.624764) + (xy 188.907479 51.63144) + (xy 188.773956 51.921074) + (xy 188.771206 51.928125) + (xy 188.673444 52.231708) + (xy 188.671561 52.239041) + (xy 188.610979 52.55217) + (xy 188.609992 52.55967) + (xy 188.587467 52.877802) + (xy 188.587388 52.885383) + (xy 171.123184 52.885383) + (xy 171.105781 52.84657) + (xy 171.102264 52.839843) + (xy 170.937771 52.566621) + (xy 170.933481 52.560377) + (xy 170.797991 52.386647) + (xy 170.786199 52.378178) + (xy 170.774486 52.384725) + (xy 169.07202 54.08719) + (xy 169.064408 54.101131) + (xy 168.334357 54.101131) + (xy 168.335592 54.098869) + (xy 168.335461 54.097034) + (xy 168.33121 54.09042) + (xy 166.626445 52.385656) + (xy 166.612501 52.378042) + (xy 166.592797 52.379451) + (xy 166.523423 52.36436) + (xy 166.473221 52.314158) + (xy 166.4585 52.263997) + (xy 166.4585 52.263487) + (xy 166.457016 52.253122) + (xy 166.455987 52.243072) + (xy 166.453611 52.204784) + (xy 166.453055 52.195823) + (xy 166.450006 52.187377) + (xy 166.449407 52.184486) + (xy 166.445178 52.16752) + (xy 166.444352 52.164695) + (xy 166.44308 52.155813) + (xy 166.423478 52.112702) + (xy 166.419672 52.103351) + (xy 166.406644 52.067261) + (xy 166.406643 52.067258) + (xy 166.403596 52.058819) + (xy 166.398302 52.051572) + (xy 166.396919 52.048972) + (xy 166.388102 52.033884) + (xy 166.386508 52.031392) + (xy 166.382792 52.023218) + (xy 166.374352 52.013423) + (xy 166.977917 52.013423) + (xy 166.98452 52.025309) + (xy 168.68719 53.72798) + (xy 168.701131 53.735592) + (xy 168.702966 53.735461) + (xy 168.70958 53.73121) + (xy 170.414559 52.02623) + (xy 170.421571 52.013389) + (xy 170.413777 52.002701) + (xy 170.251298 51.874613) + (xy 170.245075 51.870288) + (xy 169.972702 51.704357) + (xy 169.966025 51.700822) + (xy 169.675686 51.568813) + (xy 169.668616 51.566099) + (xy 169.364537 51.469932) + (xy 169.357186 51.468085) + (xy 169.043746 51.409142) + (xy 169.036237 51.408194) + (xy 168.717989 51.387335) + (xy 168.710424 51.387295) + (xy 168.391964 51.404821) + (xy 168.38445 51.40569) + (xy 168.070405 51.461348) + (xy 168.063044 51.463115) + (xy 167.75798 51.556092) + (xy 167.75086 51.55874) + (xy 167.459182 51.68769) + (xy 167.452445 51.691167) + (xy 167.178355 51.854233) + (xy 167.172091 51.85849) + (xy 166.986385 52.001762) + (xy 166.977917 52.013423) + (xy 166.374352 52.013423) + (xy 166.351886 51.987349) + (xy 166.34561 51.979445) + (xy 166.337575 51.968447) + (xy 166.326589 51.957462) + (xy 166.320245 51.950629) + (xy 166.287713 51.912873) + (xy 166.280178 51.907989) + (xy 166.273937 51.902544) + (xy 166.262075 51.892947) + (xy 166.059821 51.690694) + (xy 166.0522 51.681156) + (xy 166.051832 51.68147) + (xy 166.046014 51.674634) + (xy 166.041224 51.667042) + (xy 166.000875 51.631407) + (xy 165.995189 51.626062) + (xy 165.983745 51.614618) + (xy 165.97537 51.608341) + (xy 165.967541 51.601967) + (xy 165.932049 51.570622) + (xy 165.923926 51.566808) + (xy 165.921438 51.565174) + (xy 165.906477 51.556186) + (xy 165.903892 51.554771) + (xy 165.896705 51.549384) + (xy 165.852357 51.532759) + (xy 165.84304 51.528832) + (xy 165.808326 51.512534) + (xy 165.8002 51.508719) + (xy 165.791331 51.507338) + (xy 165.788498 51.506472) + (xy 165.771611 51.502042) + (xy 165.768726 51.501408) + (xy 165.760316 51.498255) + (xy 165.731158 51.496088) + (xy 165.713094 51.494746) + (xy 165.703048 51.493592) + (xy 165.694425 51.492249) + (xy 165.694422 51.492249) + (xy 165.689614 51.4915) + (xy 165.674094 51.4915) + (xy 165.664757 51.491154) + (xy 165.647854 51.489898) + (xy 165.615059 51.487461) + (xy 165.60628 51.489335) + (xy 165.598022 51.489898) + (xy 165.582839 51.4915) + (xy 135.821073 51.4915) + (xy 135.808944 51.490145) + (xy 135.808905 51.490627) + (xy 135.799954 51.489907) + (xy 135.7912 51.487926) + (xy 135.739168 51.491154) + (xy 135.737492 51.491258) + (xy 135.72969 51.4915) + (xy 135.713487 51.4915) + (xy 135.704571 51.492777) + (xy 135.703122 51.492984) + (xy 135.693072 51.494013) + (xy 135.655215 51.496362) + (xy 135.645823 51.496945) + (xy 135.637377 51.499994) + (xy 135.634486 51.500593) + (xy 135.61752 51.504822) + (xy 135.614695 51.505648) + (xy 135.605813 51.50692) + (xy 135.562702 51.526522) + (xy 135.553351 51.530328) + (xy 135.517261 51.543356) + (xy 135.517258 51.543357) + (xy 135.508819 51.546404) + (xy 135.501572 51.551698) + (xy 135.498972 51.553081) + (xy 135.483884 51.561898) + (xy 135.481392 51.563492) + (xy 135.473218 51.567208) + (xy 135.437349 51.598114) + (xy 135.429445 51.60439) + (xy 135.418447 51.612425) + (xy 135.415002 51.615871) + (xy 135.415 51.615872) + (xy 135.407465 51.623408) + (xy 135.400629 51.629755) + (xy 135.362873 51.662287) + (xy 135.357989 51.669822) + (xy 135.352544 51.676063) + (xy 135.342947 51.687925) + (xy 135.140694 51.890179) + (xy 135.131156 51.8978) + (xy 135.13147 51.898168) + (xy 135.124634 51.903986) + (xy 135.117042 51.908776) + (xy 135.1111 51.915504) + (xy 135.081408 51.949124) + (xy 135.076062 51.954811) + (xy 135.064618 51.966255) + (xy 135.060027 51.972381) + (xy 135.058341 51.97463) + (xy 135.051967 51.982459) + (xy 135.020622 52.017951) + (xy 135.016808 52.026074) + (xy 135.015174 52.028562) + (xy 135.006186 52.043523) + (xy 135.004771 52.046108) + (xy 134.999384 52.053295) + (xy 134.982759 52.097642) + (xy 134.978833 52.106958) + (xy 134.958719 52.1498) + (xy 134.957338 52.158669) + (xy 134.956472 52.161502) + (xy 134.952042 52.178389) + (xy 134.951408 52.181274) + (xy 134.948255 52.189684) + (xy 134.94759 52.198639) + (xy 134.944746 52.236906) + (xy 134.943592 52.246952) + (xy 134.9415 52.260386) + (xy 134.9415 52.263095) + (xy 134.916509 52.328915) + (xy 134.859424 52.371127) + (xy 134.809582 52.378647) + (xy 134.78741 52.377501) + (xy 134.774486 52.384725) + (xy 133.07202 54.08719) + (xy 133.064408 54.101131) + (xy 133.064539 54.102966) + (xy 133.06879 54.10958) + (xy 134.773285 55.814074) + (xy 134.787229 55.821688) + (xy 134.80651 55.820309) + (xy 134.875884 55.8354) + (xy 134.926087 55.885602) + (xy 134.9415 55.945988) + (xy 134.9415 78.252785) + (xy 134.921498 78.320906) + (xy 134.867842 78.367399) + (xy 134.808995 78.378617) + (xy 134.78741 78.377501) + (xy 134.774486 78.384725) + (xy 133.07202 80.08719) + (xy 133.064408 80.101131) + (xy 132.334357 80.101131) + (xy 132.335592 80.098869) + (xy 132.335461 80.097034) + (xy 132.33121 80.09042) + (xy 130.626445 78.385656) + (xy 130.61351 78.378592) + (xy 130.602949 78.386252) + (xy 130.482766 78.537072) + (xy 130.47841 78.54327) + (xy 130.311059 78.814764) + (xy 130.307479 78.82144) + (xy 130.173956 79.111074) + (xy 130.171206 79.118125) + (xy 130.073444 79.421708) + (xy 130.071561 79.429041) + (xy 130.010979 79.74217) + (xy 130.009992 79.74967) + (xy 129.987467 80.067802) + (xy 129.987388 80.075383) + (xy 129.2585 80.075383) + (xy 129.2585 78.013423) + (xy 130.977917 78.013423) + (xy 130.98452 78.025309) + (xy 132.68719 79.72798) + (xy 132.701131 79.735592) + (xy 132.702966 79.735461) + (xy 132.70958 79.73121) + (xy 134.414559 78.02623) + (xy 134.421571 78.013389) + (xy 134.413777 78.002701) + (xy 134.251298 77.874613) + (xy 134.245075 77.870288) + (xy 133.972702 77.704357) + (xy 133.966025 77.700822) + (xy 133.675686 77.568813) + (xy 133.668616 77.566099) + (xy 133.364537 77.469932) + (xy 133.357186 77.468085) + (xy 133.043746 77.409142) + (xy 133.036237 77.408194) + (xy 132.717989 77.387335) + (xy 132.710424 77.387295) + (xy 132.391964 77.404821) + (xy 132.38445 77.40569) + (xy 132.070405 77.461348) + (xy 132.063044 77.463115) + (xy 131.75798 77.556092) + (xy 131.75086 77.55874) + (xy 131.459182 77.68769) + (xy 131.452445 77.691167) + (xy 131.178355 77.854233) + (xy 131.172091 77.85849) + (xy 130.986385 78.001762) + (xy 130.977917 78.013423) + (xy 129.2585 78.013423) + (xy 129.2585 75.4345) + (xy 129.278502 75.366379) + (xy 129.332158 75.319886) + (xy 129.3845 75.3085) + (xy 129.495487 75.3085) + (xy 129.501939 75.307128) + (xy 129.501944 75.307128) + (xy 129.588888 75.288647) + (xy 129.682288 75.268794) + (xy 129.688319 75.266109) + (xy 129.850722 75.193803) + (xy 129.850724 75.193802) + (xy 129.856752 75.191118) + (xy 130.011253 75.078866) + (xy 130.031333 75.056565) + (xy 130.134621 74.941852) + (xy 130.134622 74.941851) + (xy 130.13904 74.936944) + (xy 130.234527 74.771556) + (xy 130.293542 74.589928) + (xy 130.313504 74.4) + (xy 130.293542 74.210072) + (xy 130.234527 74.028444) + (xy 130.13904 73.863056) + (xy 130.090864 73.809551) + (xy 130.060146 73.745544) + (xy 130.0585 73.725241) + (xy 130.0585 72.469299) + (xy 130.078502 72.401178) + (xy 130.132158 72.354685) + (xy 130.202432 72.344581) + (xy 130.250335 72.361867) + (xy 130.311749 72.399502) + (xy 130.320553 72.403988) + (xy 130.533029 72.491998) + (xy 130.542414 72.495047) + (xy 130.766044 72.548737) + (xy 130.775791 72.55028) + (xy 131.00507 72.568325) + (xy 131.01493 72.568325) + (xy 131.244209 72.55028) + (xy 131.253956 72.548737) + (xy 131.477586 72.495047) + (xy 131.486971 72.491998) + (xy 131.699447 72.403988) + (xy 131.708241 72.399507) + (xy 131.880083 72.294203) + (xy 131.889543 72.283747) + (xy 131.885759 72.274969) + (xy 130.739885 71.129095) + (xy 130.705859 71.066783) + (xy 130.707694 71.041132) + (xy 131.374408 71.041132) + (xy 131.374539 71.042965) + (xy 131.37879 71.04958) + (xy 132.241926 71.912716) + (xy 132.254306 71.919476) + (xy 132.261956 71.913749) + (xy 132.369507 71.738241) + (xy 132.373988 71.729447) + (xy 132.461998 71.516971) + (xy 132.465047 71.507586) + (xy 132.518737 71.283956) + (xy 132.52028 71.274209) + (xy 132.538325 71.04493) + (xy 132.538325 71.03507) + (xy 132.52028 70.805791) + (xy 132.518737 70.796044) + (xy 132.465047 70.572414) + (xy 132.461998 70.563029) + (xy 132.373988 70.350553) + (xy 132.369507 70.341759) + (xy 132.264203 70.169917) + (xy 132.253747 70.160457) + (xy 132.244969 70.164241) + (xy 131.382022 71.027188) + (xy 131.374408 71.041132) + (xy 130.707694 71.041132) + (xy 130.710924 70.995968) + (xy 130.739885 70.950905) + (xy 131.882716 69.808074) + (xy 131.889476 69.795694) + (xy 131.883749 69.788044) + (xy 131.708241 69.680493) + (xy 131.699447 69.676012) + (xy 131.486971 69.588002) + (xy 131.477586 69.584953) + (xy 131.253956 69.531263) + (xy 131.244209 69.52972) + (xy 131.01493 69.511675) + (xy 131.00507 69.511675) + (xy 130.775791 69.52972) + (xy 130.766044 69.531263) + (xy 130.542414 69.584953) + (xy 130.533029 69.588002) + (xy 130.320553 69.676012) + (xy 130.311749 69.680498) + (xy 130.250335 69.718133) + (xy 130.181802 69.736672) + (xy 130.114125 69.715216) + (xy 130.068792 69.660577) + (xy 130.0585 69.610701) + (xy 130.0585 67.918994) + (xy 130.078502 67.850873) + (xy 130.132158 67.80438) + (xy 130.202432 67.794276) + (xy 130.267012 67.82377) + (xy 130.292219 67.853629) + (xy 130.407647 68.043849) + (xy 130.411144 68.047879) + (xy 130.513023 68.165284) + (xy 130.558847 68.218092) + (xy 130.574766 68.231145) + (xy 130.733115 68.360984) + (xy 130.733121 68.360988) + (xy 130.737243 68.364368) + (xy 130.937735 68.478494) + (xy 130.942751 68.480315) + (xy 130.942756 68.480317) + (xy 131.149575 68.555389) + (xy 131.149579 68.55539) + (xy 131.15459 68.557209) + (xy 131.159839 68.558158) + (xy 131.159842 68.558159) + (xy 131.377523 68.597522) + (xy 131.37753 68.597523) + (xy 131.381607 68.59826) + (xy 131.399344 68.599096) + (xy 131.404292 68.59933) + (xy 131.404299 68.59933) + (xy 131.40578 68.5994) + (xy 131.567925 68.5994) + (xy 131.634881 68.593719) + (xy 131.734562 68.585261) + (xy 131.734566 68.58526) + (xy 131.739873 68.58481) + (xy 131.745028 68.583472) + (xy 131.745034 68.583471) + (xy 131.958003 68.528195) + (xy 131.958007 68.528194) + (xy 131.963172 68.526853) + (xy 131.968038 68.524661) + (xy 131.968041 68.52466) + (xy 132.168649 68.434293) + (xy 132.173515 68.432101) + (xy 132.177935 68.429125) + (xy 132.177939 68.429123) + (xy 132.324503 68.330449) + (xy 132.364885 68.303262) + (xy 132.531812 68.144022) + (xy 132.573284 68.088282) + (xy 132.666337 67.963214) + (xy 132.666341 67.963208) + (xy 132.669521 67.958934) + (xy 132.724462 67.850873) + (xy 132.771658 67.758046) + (xy 132.771658 67.758045) + (xy 132.774077 67.753288) + (xy 132.826355 67.584926) + (xy 132.840905 67.53807) + (xy 132.840906 67.538064) + (xy 132.842489 67.532967) + (xy 132.8728 67.304268) + (xy 132.871983 67.282489) + (xy 132.864346 67.079063) + (xy 132.864146 67.073732) + (xy 132.816772 66.84795) + (xy 132.732033 66.633378) + (xy 132.612353 66.436151) + (xy 132.544971 66.3585) + (xy 132.464653 66.265941) + (xy 132.464651 66.265939) + (xy 132.461153 66.261908) + (xy 132.388495 66.202332) + (xy 132.286885 66.119016) + (xy 132.286879 66.119012) + (xy 132.282757 66.115632) + (xy 132.082265 66.001506) + (xy 132.077249 65.999685) + (xy 132.077244 65.999683) + (xy 131.870425 65.924611) + (xy 131.870421 65.92461) + (xy 131.86541 65.922791) + (xy 131.860161 65.921842) + (xy 131.860158 65.921841) + (xy 131.642477 65.882478) + (xy 131.64247 65.882477) + (xy 131.638393 65.88174) + (xy 131.620656 65.880904) + (xy 131.615708 65.88067) + (xy 131.615701 65.88067) + (xy 131.61422 65.8806) + (xy 131.452075 65.8806) + (xy 131.385119 65.886281) + (xy 131.285438 65.894739) + (xy 131.285434 65.89474) + (xy 131.280127 65.89519) + (xy 131.274972 65.896528) + (xy 131.274966 65.896529) + (xy 131.061997 65.951805) + (xy 131.061993 65.951806) + (xy 131.056828 65.953147) + (xy 131.051962 65.955339) + (xy 131.051959 65.95534) + (xy 130.953521 65.999683) + (xy 130.846485 66.047899) + (xy 130.842065 66.050875) + (xy 130.842061 66.050877) + (xy 130.7747 66.096228) + (xy 130.655115 66.176738) + (xy 130.488188 66.335978) + (xy 130.350479 66.521066) + (xy 130.348064 66.525816) + (xy 130.296817 66.626611) + (xy 130.248114 66.678269) + (xy 130.179214 66.695395) + (xy 130.111992 66.672553) + (xy 130.067791 66.616994) + (xy 130.0585 66.569506) + (xy 130.0585 60.282056) + (xy 130.059059 60.2702) + (xy 130.059059 60.270197) + (xy 130.060788 60.262463) + (xy 130.058562 60.191631) + (xy 130.0585 60.187673) + (xy 130.0585 60.158568) + (xy 130.057944 60.154168) + (xy 130.057012 60.14233) + (xy 130.056995 60.141772) + (xy 130.055562 60.096169) + (xy 130.04958 60.075579) + (xy 130.04557 60.056216) + (xy 130.044975 60.051501) + (xy 130.042882 60.034936) + (xy 130.039966 60.027571) + (xy 130.039965 60.027567) + (xy 130.025874 59.991979) + (xy 130.022035 59.980769) + (xy 130.009145 59.9364) + (xy 129.998229 59.917943) + (xy 129.989534 59.900193) + (xy 129.981635 59.880244) + (xy 129.973316 59.868794) + (xy 129.954477 59.842864) + (xy 129.94796 59.832943) + (xy 129.924452 59.793193) + (xy 129.909291 59.778032) + (xy 129.896449 59.762997) + (xy 129.883841 59.745643) + (xy 129.848248 59.716198) + (xy 129.839467 59.708208) + (xy 129.142969 59.011709) + (xy 129.108944 58.949397) + (xy 129.106755 58.935785) + (xy 129.094232 58.816636) + (xy 129.094232 58.816635) + (xy 129.093542 58.810072) + (xy 129.034527 58.628444) + (xy 129.017599 58.599123) + (xy 128.942341 58.468774) + (xy 128.93904 58.463056) + (xy 128.811253 58.321134) + (xy 128.671094 58.219302) + (xy 128.662094 58.212763) + (xy 128.662093 58.212762) + (xy 128.656752 58.208882) + (xy 128.650724 58.206198) + (xy 128.650722 58.206197) + (xy 128.488319 58.133891) + (xy 128.488318 58.133891) + (xy 128.482288 58.131206) + (xy 128.388888 58.111353) + (xy 128.301944 58.092872) + (xy 128.301939 58.092872) + (xy 128.295487 58.0915) + (xy 128.104513 58.0915) + (xy 128.098061 58.092872) + (xy 128.098056 58.092872) + (xy 128.011112 58.111353) + (xy 127.917712 58.131206) + (xy 127.911682 58.133891) + (xy 127.911681 58.133891) + (xy 127.749278 58.206197) + (xy 127.749276 58.206198) + (xy 127.743248 58.208882) + (xy 127.737907 58.212762) + (xy 127.737906 58.212763) + (xy 127.728906 58.219302) + (xy 127.588747 58.321134) + (xy 127.46096 58.463056) + (xy 127.457659 58.468774) + (xy 127.382402 58.599123) + (xy 127.365473 58.628444) + (xy 127.306458 58.810072) + (xy 127.305768 58.816633) + (xy 127.305768 58.816635) + (xy 127.28882 58.977885) + (xy 127.286496 59) + (xy 127.306458 59.189928) + (xy 127.365473 59.371556) + (xy 127.46096 59.536944) + (xy 127.588747 59.678866) + (xy 127.743248 59.791118) + (xy 127.749276 59.793802) + (xy 127.749278 59.793803) + (xy 127.911681 59.866109) + (xy 127.917712 59.868794) + (xy 128.006234 59.88761) + (xy 128.098056 59.907128) + (xy 128.098061 59.907128) + (xy 128.104513 59.9085) + (xy 128.125049 59.9085) + (xy 128.19317 59.928502) + (xy 128.214144 59.945404) + (xy 128.704595 60.435854) + (xy 128.73862 60.498167) + (xy 128.7415 60.52495) + (xy 128.7415 64.04105) + (xy 128.721498 64.109171) + (xy 128.667842 64.155664) + (xy 128.597568 64.165768) + (xy 128.532988 64.136274) + (xy 128.526405 64.130145) + (xy 127.310405 62.914145) + (xy 127.276379 62.851833) + (xy 127.2735 62.82505) + (xy 127.2735 62.701866) + (xy 127.271423 62.682749) + (xy 127.267598 62.647534) + (xy 127.267598 62.647532) + (xy 127.266745 62.639684) + (xy 127.255075 62.608553) + (xy 127.249705 62.594229) + (xy 127.244522 62.523422) + (xy 127.249705 62.505771) + (xy 127.263973 62.467711) + (xy 127.263973 62.467709) + (xy 127.266745 62.460316) + (xy 127.268561 62.443604) + (xy 127.273131 62.401531) + (xy 127.2735 62.398134) + (xy 127.2735 61.751866) + (xy 127.266745 61.689684) + (xy 127.215615 61.553295) + (xy 127.213264 61.550158) + (xy 127.1985 61.49245) + (xy 127.1985 61.428911) + (xy 127.198792 61.420342) + (xy 127.202209 61.370223) + (xy 127.202209 61.370219) + (xy 127.202725 61.362647) + (xy 127.191736 61.299685) + (xy 127.190777 61.293182) + (xy 127.190758 61.293019) + (xy 127.183102 61.229758) + (xy 127.180416 61.222649) + (xy 127.179784 61.220078) + (xy 127.175324 61.203772) + (xy 127.174549 61.201204) + (xy 127.173242 61.193716) + (xy 127.147561 61.135212) + (xy 127.145069 61.129105) + (xy 127.125173 61.076452) + (xy 127.125173 61.076451) + (xy 127.122487 61.069344) + (xy 127.118184 61.063083) + (xy 127.116947 61.060717) + (xy 127.10872 61.045937) + (xy 127.107369 61.043652) + (xy 127.104315 61.036695) + (xy 127.099695 61.030675) + (xy 127.099692 61.030669) + (xy 127.065421 60.986009) + (xy 127.061541 60.980668) + (xy 127.029661 60.93428) + (xy 127.029656 60.934275) + (xy 127.025357 60.928019) + (xy 127.00345 60.9085) + (xy 126.97883 60.886565) + (xy 126.973554 60.881584) + (xy 126.61145 60.51948) + (xy 126.605596 60.513215) + (xy 126.605201 60.512762) + (xy 126.567561 60.469615) + (xy 126.51528 60.432871) + (xy 126.509986 60.428939) + (xy 126.465693 60.394209) + (xy 126.459718 60.389524) + (xy 126.452802 60.386401) + (xy 126.450516 60.385017) + (xy 126.435835 60.376643) + (xy 126.433475 60.375378) + (xy 126.427261 60.37101) + (xy 126.420182 60.36825) + (xy 126.42018 60.368249) + (xy 126.367725 60.347798) + (xy 126.361656 60.345247) + (xy 126.303427 60.318955) + (xy 126.29596 60.317571) + (xy 126.293405 60.31677) + (xy 126.277152 60.312141) + (xy 126.274572 60.311478) + (xy 126.267491 60.308718) + (xy 126.25996 60.307727) + (xy 126.259958 60.307726) + (xy 126.230339 60.303827) + (xy 126.204139 60.300378) + (xy 126.197641 60.299348) + (xy 126.134814 60.287704) + (xy 126.127234 60.288141) + (xy 126.127233 60.288141) + (xy 126.072608 60.291291) + (xy 126.065354 60.2915) + (xy 125.611405 60.2915) + (xy 125.543284 60.271498) + (xy 125.537344 60.267436) + (xy 125.462094 60.212763) + (xy 125.462093 60.212762) + (xy 125.456752 60.208882) + (xy 125.450724 60.206198) + (xy 125.450722 60.206197) + (xy 125.288319 60.133891) + (xy 125.288318 60.133891) + (xy 125.282288 60.131206) + (xy 125.188888 60.111353) + (xy 125.101944 60.092872) + (xy 125.101939 60.092872) + (xy 125.095487 60.0915) + (xy 124.904513 60.0915) + (xy 124.898061 60.092872) + (xy 124.898056 60.092872) + (xy 124.811112 60.111353) + (xy 124.717712 60.131206) + (xy 124.711682 60.133891) + (xy 124.711681 60.133891) + (xy 124.549278 60.206197) + (xy 124.549276 60.206198) + (xy 124.543248 60.208882) + (xy 124.388747 60.321134) + (xy 124.384326 60.326044) + (xy 124.384325 60.326045) + (xy 124.278475 60.443604) + (xy 124.26096 60.463056) + (xy 124.223883 60.527275) + (xy 124.179954 60.603363) + (xy 124.165473 60.628444) + (xy 124.106458 60.810072) + (xy 124.105768 60.816633) + (xy 124.105768 60.816635) + (xy 124.094061 60.928019) + (xy 124.086496 61) + (xy 124.087186 61.006565) + (xy 124.092878 61.060717) + (xy 124.106458 61.189928) + (xy 124.165473 61.371556) + (xy 124.168776 61.377278) + (xy 124.168777 61.377279) + (xy 124.190244 61.414461) + (xy 124.26096 61.536944) + (xy 124.283252 61.561701) + (xy 124.286016 61.564771) + (xy 124.316734 61.628778) + (xy 124.307971 61.699231) + (xy 124.262509 61.753763) + (xy 124.24363 61.764189) + (xy 124.149278 61.806197) + (xy 124.149276 61.806198) + (xy 124.143248 61.808882) + (xy 123.988747 61.921134) + (xy 123.86096 62.063056) + (xy 123.765473 62.228444) + (xy 123.706458 62.410072) + (xy 123.705768 62.416633) + (xy 123.705768 62.416635) + (xy 123.690299 62.563818) + (xy 123.686496 62.6) + (xy 123.687186 62.606565) + (xy 123.704469 62.771) + (xy 123.706458 62.789928) + (xy 123.765473 62.971556) + (xy 123.768776 62.977278) + (xy 123.768777 62.977279) + (xy 123.788944 63.012209) + (xy 123.86096 63.136944) + (xy 123.865375 63.141847) + (xy 123.865379 63.141852) + (xy 123.982629 63.272071) + (xy 123.988747 63.278866) + (xy 124.051888 63.324741) + (xy 124.116003 63.371323) + (xy 124.143248 63.391118) + (xy 124.149276 63.393802) + (xy 124.149278 63.393803) + (xy 124.311681 63.466109) + (xy 124.317712 63.468794) + (xy 124.411112 63.488647) + (xy 124.498056 63.507128) + (xy 124.498061 63.507128) + (xy 124.504513 63.5085) + (xy 124.695487 63.5085) + (xy 124.701939 63.507128) + (xy 124.708149 63.506476) + (xy 124.777987 63.51925) + (xy 124.822142 63.556221) + (xy 124.896715 63.655724) + (xy 124.909276 63.668285) + (xy 125.011351 63.744786) + (xy 125.026946 63.753324) + (xy 125.147394 63.798478) + (xy 125.162649 63.802105) + (xy 125.213514 63.807631) + (xy 125.220328 63.808) + (xy 125.267885 63.808) + (xy 125.283124 63.803525) + (xy 125.284329 63.802135) + (xy 125.286 63.794452) + (xy 125.286 63.244217) + (xy 125.306002 63.176096) + (xy 125.318359 63.159913) + (xy 125.33904 63.136944) + (xy 125.411056 63.012209) + (xy 125.431223 62.977279) + (xy 125.431224 62.977278) + (xy 125.434527 62.971556) + (xy 125.442973 62.945562) + (xy 125.483044 62.886959) + (xy 125.548441 62.859321) + (xy 125.562805 62.8585) + (xy 125.5805 62.8585) + (xy 125.648621 62.878502) + (xy 125.695114 62.932158) + (xy 125.7065 62.9845) + (xy 125.7065 63.348134) + (xy 125.713255 63.410316) + (xy 125.764385 63.546705) + (xy 125.769771 63.553891) + (xy 125.774079 63.56176) + (xy 125.772551 63.562597) + (xy 125.793674 63.619141) + (xy 125.794 63.628196) + (xy 125.794 63.789884) + (xy 125.798475 63.805123) + (xy 125.799865 63.806328) + (xy 125.807548 63.807999) + (xy 125.859669 63.807999) + (xy 125.86649 63.807629) + (xy 125.917352 63.802105) + (xy 125.932604 63.798479) + (xy 125.970058 63.784438) + (xy 126.040865 63.779255) + (xy 126.058516 63.784438) + (xy 126.104684 63.801745) + (xy 126.166866 63.8085) + (xy 126.29005 63.8085) + (xy 126.358171 63.828502) + (xy 126.379145 63.845405) + (xy 127.904595 65.370855) + (xy 127.938621 65.433167) + (xy 127.9415 65.45995) + (xy 127.9415 65.731759) + (xy 127.921498 65.79988) + (xy 127.867842 65.846373) + (xy 127.797568 65.856477) + (xy 127.732988 65.826983) + (xy 127.723349 65.817691) + (xy 127.538 65.618928) + (xy 127.535154 65.615876) + (xy 127.306955 65.428432) + (xy 127.055971 65.272815) + (xy 126.78661 65.151759) + (xy 126.503605 65.067392) + (xy 126.499485 65.066739) + (xy 126.499483 65.066739) + (xy 126.215408 65.021745) + (xy 126.215402 65.021744) + (xy 126.211927 65.021194) + (xy 126.187368 65.020079) + (xy 126.120983 65.017064) + (xy 126.120962 65.017064) + (xy 126.119563 65.017) + (xy 125.935099 65.017) + (xy 125.715336 65.031597) + (xy 125.711237 65.032423) + (xy 125.711233 65.032424) + (xy 125.568639 65.061176) + (xy 125.425849 65.089967) + (xy 125.146625 65.186112) + (xy 125.142897 65.187979) + (xy 124.973483 65.272815) + (xy 124.882569 65.318341) + (xy 124.638322 65.484332) + (xy 124.418173 65.681168) + (xy 124.415456 65.684338) + (xy 124.415455 65.684339) + (xy 124.233586 65.896529) + (xy 124.225991 65.90539) + (xy 124.223717 65.908892) + (xy 124.223713 65.908897) + (xy 124.075697 66.136821) + (xy 124.065151 66.153061) + (xy 124.063357 66.156839) + (xy 124.063356 66.156841) + (xy 124.054179 66.176168) + (xy 123.938481 66.419828) + (xy 123.937202 66.423811) + (xy 123.937201 66.423814) + (xy 123.85734 66.672553) + (xy 123.848205 66.701004) + (xy 123.842661 66.731815) + (xy 123.802986 66.952325) + (xy 123.79591 66.99165) + (xy 123.795721 66.995817) + (xy 123.79572 66.995824) + (xy 123.787791 67.170447) + (xy 123.782514 67.286659) + (xy 123.782877 67.290807) + (xy 123.782877 67.290811) + (xy 123.784517 67.309553) + (xy 123.808252 67.580849) + (xy 123.809162 67.584921) + (xy 123.809163 67.584926) + (xy 123.867659 67.846622) + (xy 123.872672 67.86905) + (xy 123.974644 68.146199) + (xy 123.976591 68.149892) + (xy 123.976592 68.149894) + (xy 124.027585 68.24661) + (xy 124.112374 68.407427) + (xy 124.114794 68.410832) + (xy 124.281019 68.644735) + (xy 124.281024 68.644741) + (xy 124.283443 68.648145) + (xy 124.484846 68.864124) + (xy 124.713045 69.051568) + (xy 124.964029 69.207185) + (xy 125.23339 69.328241) + (xy 125.516395 69.412608) + (xy 125.520515 69.413261) + (xy 125.520517 69.413261) + (xy 125.804592 69.458255) + (xy 125.804598 69.458256) + (xy 125.808073 69.458806) + (xy 125.832632 69.459921) + (xy 125.899017 69.462936) + (xy 125.899038 69.462936) + (xy 125.900437 69.463) + (xy 126.084901 69.463) + (xy 126.304664 69.448403) + (xy 126.308763 69.447577) + (xy 126.308767 69.447576) + (xy 126.48219 69.412608) + (xy 126.594151 69.390033) + (xy 126.873375 69.293888) + (xy 127.043089 69.208902) + (xy 127.133695 69.16353) + (xy 127.133697 69.163529) + (xy 127.137431 69.161659) + (xy 127.381678 68.995668) + (xy 127.601827 68.798832) + (xy 127.649551 68.743152) + (xy 127.719832 68.661154) + (xy 127.77935 68.622449) + (xy 127.850346 68.622066) + (xy 127.910278 68.660127) + (xy 127.940119 68.724548) + (xy 127.9415 68.743152) + (xy 127.9415 70.83534) + (xy 127.921498 70.903461) + (xy 127.867842 70.949954) + (xy 127.797568 70.960058) + (xy 127.732988 70.930564) + (xy 127.726405 70.924435) + (xy 127.52145 70.71948) + (xy 127.515596 70.713215) + (xy 127.482775 70.675592) + (xy 127.477561 70.669615) + (xy 127.42528 70.632871) + (xy 127.419986 70.628939) + (xy 127.375693 70.594209) + (xy 127.369718 70.589524) + (xy 127.362802 70.586401) + (xy 127.360516 70.585017) + (xy 127.345835 70.576643) + (xy 127.343475 70.575378) + (xy 127.337261 70.57101) + (xy 127.330182 70.56825) + (xy 127.33018 70.568249) + (xy 127.277725 70.547798) + (xy 127.271656 70.545247) + (xy 127.213427 70.518955) + (xy 127.20596 70.517571) + (xy 127.203405 70.51677) + (xy 127.187152 70.512141) + (xy 127.184572 70.511478) + (xy 127.177491 70.508718) + (xy 127.16996 70.507727) + (xy 127.169958 70.507726) + (xy 127.140339 70.503827) + (xy 127.114139 70.500378) + (xy 127.107641 70.499348) + (xy 127.044814 70.487704) + (xy 127.037234 70.488141) + (xy 127.037233 70.488141) + (xy 126.982608 70.491291) + (xy 126.975354 70.4915) + (xy 125.74566 70.4915) + (xy 125.677539 70.471498) + (xy 125.656565 70.454595) + (xy 123.72145 68.51948) + (xy 123.715596 68.513215) + (xy 123.710244 68.50708) + (xy 123.677561 68.469615) + (xy 123.62528 68.432871) + (xy 123.619986 68.428939) + (xy 123.575693 68.394209) + (xy 123.569718 68.389524) + (xy 123.562802 68.386401) + (xy 123.560516 68.385017) + (xy 123.545835 68.376643) + (xy 123.543475 68.375378) + (xy 123.537261 68.37101) + (xy 123.530182 68.36825) + (xy 123.53018 68.368249) + (xy 123.4882 68.351882) + (xy 123.477723 68.347797) + (xy 123.471656 68.345247) + (xy 123.413427 68.318955) + (xy 123.40596 68.317571) + (xy 123.403405 68.31677) + (xy 123.387152 68.312141) + (xy 123.384572 68.311478) + (xy 123.377491 68.308718) + (xy 123.36996 68.307727) + (xy 123.369958 68.307726) + (xy 123.336048 68.303262) + (xy 123.314139 68.300378) + (xy 123.307641 68.299348) + (xy 123.244814 68.287704) + (xy 123.237234 68.288141) + (xy 123.237233 68.288141) + (xy 123.182608 68.291291) + (xy 123.175354 68.2915) + (xy 121.67288 68.2915) + (xy 121.604759 68.271498) + (xy 121.558266 68.217842) + (xy 121.548162 68.147568) + (xy 121.57179 68.09029) + (xy 121.669521 67.958934) + (xy 121.724462 67.850873) + (xy 121.771658 67.758046) + (xy 121.771658 67.758045) + (xy 121.774077 67.753288) + (xy 121.826355 67.584926) + (xy 121.840905 67.53807) + (xy 121.840906 67.538064) + (xy 121.842489 67.532967) + (xy 121.8728 67.304268) + (xy 121.871983 67.282489) + (xy 121.864346 67.079063) + (xy 121.864146 67.073732) + (xy 121.816772 66.84795) + (xy 121.732033 66.633378) + (xy 121.612353 66.436151) + (xy 121.544971 66.3585) + (xy 121.464653 66.265941) + (xy 121.464651 66.265939) + (xy 121.461153 66.261908) + (xy 121.388495 66.202332) + (xy 121.286885 66.119016) + (xy 121.286879 66.119012) + (xy 121.282757 66.115632) + (xy 121.082265 66.001506) + (xy 121.077249 65.999685) + (xy 121.077244 65.999683) + (xy 120.870425 65.924611) + (xy 120.870421 65.92461) + (xy 120.86541 65.922791) + (xy 120.860161 65.921842) + (xy 120.860158 65.921841) + (xy 120.642477 65.882478) + (xy 120.64247 65.882477) + (xy 120.638393 65.88174) + (xy 120.620656 65.880904) + (xy 120.615708 65.88067) + (xy 120.615701 65.88067) + (xy 120.61422 65.8806) + (xy 120.452075 65.8806) + (xy 120.385119 65.886281) + (xy 120.285438 65.894739) + (xy 120.285434 65.89474) + (xy 120.280127 65.89519) + (xy 120.274972 65.896528) + (xy 120.274966 65.896529) + (xy 120.061997 65.951805) + (xy 120.061993 65.951806) + (xy 120.056828 65.953147) + (xy 120.051962 65.955339) + (xy 120.051959 65.95534) + (xy 119.953521 65.999683) + (xy 119.846485 66.047899) + (xy 119.842065 66.050875) + (xy 119.842061 66.050877) + (xy 119.7747 66.096228) + (xy 119.655115 66.176738) + (xy 119.488188 66.335978) + (xy 119.350479 66.521066) + (xy 119.348064 66.525816) + (xy 119.293377 66.633378) + (xy 119.245923 66.726712) + (xy 119.211717 66.836872) + (xy 119.179095 66.94193) + (xy 119.179094 66.941936) + (xy 119.177511 66.947033) + (xy 119.1472 67.175732) + (xy 119.1474 67.181062) + (xy 119.1474 67.181063) + (xy 119.151364 67.286659) + (xy 119.155854 67.406268) + (xy 119.203228 67.63205) + (xy 119.287967 67.846622) + (xy 119.407647 68.043849) + (xy 119.411144 68.047879) + (xy 119.513023 68.165284) + (xy 119.558847 68.218092) + (xy 119.574766 68.231145) + (xy 119.733115 68.360984) + (xy 119.733121 68.360988) + (xy 119.737243 68.364368) + (xy 119.898215 68.455998) + (xy 119.947521 68.50708) + (xy 119.961383 68.57671) + (xy 119.9354 68.642781) + (xy 119.877821 68.684316) + (xy 119.835883 68.6915) + (xy 116.211405 68.6915) + (xy 116.143284 68.671498) + (xy 116.137344 68.667436) + (xy 116.062094 68.612763) + (xy 116.062093 68.612762) + (xy 116.056752 68.608882) + (xy 116.050724 68.606198) + (xy 116.050722 68.606197) + (xy 115.888319 68.533891) + (xy 115.888318 68.533891) + (xy 115.882288 68.531206) + (xy 115.768785 68.50708) + (xy 115.701944 68.492872) + (xy 115.701939 68.492872) + (xy 115.695487 68.4915) + (xy 115.504513 68.4915) + (xy 115.498061 68.492872) + (xy 115.498056 68.492872) + (xy 115.431215 68.50708) + (xy 115.317712 68.531206) + (xy 115.311682 68.533891) + (xy 115.311681 68.533891) + (xy 115.149278 68.606197) + (xy 115.149276 68.606198) + (xy 115.143248 68.608882) + (xy 115.12564 68.621675) + (xy 115.095706 68.643423) + (xy 115.028838 68.667281) + (xy 114.959687 68.6512) + (xy 114.932551 68.630581) + (xy 113.945894 67.643924) + (xy 113.911868 67.581612) + (xy 113.908989 67.554829) + (xy 113.908989 63.28864) + (xy 117.286963 63.28864) + (xy 117.304663 63.46916) + (xy 117.361918 63.641273) + (xy 117.365565 63.647295) + (xy 117.365566 63.647297) + (xy 117.408835 63.718742) + (xy 117.45588 63.796424) + (xy 117.460769 63.801487) + (xy 117.46077 63.801488) + (xy 117.503181 63.845405) + (xy 117.581882 63.926902) + (xy 117.733659 64.026222) + (xy 117.740263 64.028678) + (xy 117.740265 64.028679) + (xy 117.897058 64.08699) + (xy 117.89706 64.08699) + (xy 117.903668 64.089448) + (xy 117.987495 64.100633) + (xy 118.07648 64.112507) + (xy 118.076484 64.112507) + (xy 118.083461 64.113438) + (xy 118.090472 64.1128) + (xy 118.090476 64.1128) + (xy 118.232959 64.099832) + (xy 118.2641 64.096998) + (xy 118.270802 64.09482) + (xy 118.270804 64.09482) + (xy 118.429909 64.043124) + (xy 118.429912 64.043123) + (xy 118.436608 64.040947) + (xy 118.592412 63.948069) + (xy 118.597513 63.943212) + (xy 118.603126 63.938951) + (xy 118.603885 63.939952) + (xy 118.66059 63.910762) + (xy 118.68436 63.9085) + (xy 118.915699 63.9085) + (xy 118.984692 63.929068) + (xy 119.058874 63.977611) + (xy 119.133159 64.026222) + (xy 119.139763 64.028678) + (xy 119.139765 64.028679) + (xy 119.296558 64.08699) + (xy 119.29656 64.08699) + (xy 119.303168 64.089448) + (xy 119.386995 64.100633) + (xy 119.47598 64.112507) + (xy 119.475984 64.112507) + (xy 119.482961 64.113438) + (xy 119.489972 64.1128) + (xy 119.489976 64.1128) + (xy 119.632459 64.099832) + (xy 119.6636 64.096998) + (xy 119.670302 64.09482) + (xy 119.670304 64.09482) + (xy 119.829409 64.043124) + (xy 119.829412 64.043123) + (xy 119.836108 64.040947) + (xy 119.991912 63.948069) + (xy 120.123266 63.822982) + (xy 120.223643 63.671902) + (xy 120.274488 63.538054) + (xy 120.285555 63.50892) + (xy 120.285556 63.508918) + (xy 120.288055 63.502338) + (xy 120.289035 63.495366) + (xy 120.312748 63.326639) + (xy 120.312748 63.326636) + (xy 120.313299 63.322717) + (xy 120.313616 63.3) + (xy 120.293397 63.119745) + (xy 120.289997 63.109982) + (xy 120.236064 62.955106) + (xy 120.236062 62.955103) + (xy 120.233745 62.948448) + (xy 120.193683 62.884335) + (xy 120.141359 62.800598) + (xy 120.137626 62.794624) + (xy 120.132664 62.789627) + (xy 120.014778 62.670915) + (xy 120.014774 62.670912) + (xy 120.009815 62.665918) + (xy 119.998697 62.658862) + (xy 119.916289 62.606565) + (xy 119.856666 62.568727) + (xy 119.827463 62.558328) + (xy 119.692425 62.510243) + (xy 119.69242 62.510242) + (xy 119.68579 62.507881) + (xy 119.678802 62.507048) + (xy 119.678799 62.507047) + (xy 119.555698 62.492368) + (xy 119.50568 62.486404) + (xy 119.498677 62.48714) + (xy 119.498676 62.48714) + (xy 119.332288 62.504628) + (xy 119.332286 62.504629) + (xy 119.325288 62.505364) + (xy 119.153579 62.563818) + (xy 118.999088 62.658862) + (xy 118.994054 62.663791) + (xy 118.992776 62.66479) + (xy 118.926782 62.690967) + (xy 118.915204 62.6915) + (xy 118.685251 62.6915) + (xy 118.61713 62.671498) + (xy 118.612657 62.668276) + (xy 118.610315 62.665918) + (xy 118.599197 62.658862) + (xy 118.516789 62.606565) + (xy 118.457166 62.568727) + (xy 118.427963 62.558328) + (xy 118.292925 62.510243) + (xy 118.29292 62.510242) + (xy 118.28629 62.507881) + (xy 118.279302 62.507048) + (xy 118.279299 62.507047) + (xy 118.156198 62.492368) + (xy 118.10618 62.486404) + (xy 118.099177 62.48714) + (xy 118.099176 62.48714) + (xy 117.932788 62.504628) + (xy 117.932786 62.504629) + (xy 117.925788 62.505364) + (xy 117.754079 62.563818) + (xy 117.748075 62.567512) + (xy 117.605595 62.655166) + (xy 117.605592 62.655168) + (xy 117.599588 62.658862) + (xy 117.594553 62.663793) + (xy 117.59455 62.663795) + (xy 117.475025 62.780843) + (xy 117.469993 62.785771) + (xy 117.371735 62.938238) + (xy 117.369326 62.944858) + (xy 117.369324 62.944861) + (xy 117.335661 63.037349) + (xy 117.309697 63.108685) + (xy 117.286963 63.28864) + (xy 113.908989 63.28864) + (xy 113.908989 63.1345) + (xy 113.928991 63.066379) + (xy 113.982647 63.019886) + (xy 114.034989 63.0085) + (xy 116.471088 63.0085) + (xy 116.479658 63.008792) + (xy 116.529776 63.012209) + (xy 116.52978 63.012209) + (xy 116.537352 63.012725) + (xy 116.544829 63.01142) + (xy 116.54483 63.01142) + (xy 116.586846 63.004087) + (xy 116.600303 63.001738) + (xy 116.606821 63.000777) + (xy 116.670242 62.993102) + (xy 116.677343 62.990419) + (xy 116.679952 62.989778) + (xy 116.696262 62.985315) + (xy 116.698798 62.98455) + (xy 116.706284 62.983243) + (xy 116.7648 62.957556) + (xy 116.770904 62.955065) + (xy 116.823548 62.935173) + (xy 116.823549 62.935172) + (xy 116.830656 62.932487) + (xy 116.836919 62.928183) + (xy 116.839285 62.926946) + (xy 116.854097 62.918701) + (xy 116.856351 62.917368) + (xy 116.863305 62.914315) + (xy 116.914002 62.875413) + (xy 116.919332 62.871541) + (xy 116.96572 62.839661) + (xy 116.965725 62.839656) + (xy 116.971981 62.835357) + (xy 117.013436 62.788829) + (xy 117.018416 62.783554) + (xy 118.866534 60.935437) + (xy 118.929432 60.901285) + (xy 118.982105 60.890089) + (xy 119.082288 60.868794) + (xy 119.19944 60.816635) + (xy 119.250722 60.793803) + (xy 119.250724 60.793802) + (xy 119.256752 60.791118) + (xy 119.263172 60.786454) + (xy 119.389166 60.694913) + (xy 119.411253 60.678866) + (xy 119.53904 60.536944) + (xy 119.628611 60.381803) + (xy 119.631223 60.377279) + (xy 119.631224 60.377278) + (xy 119.634527 60.371556) + (xy 119.693542 60.189928) + (xy 119.697051 60.156548) + (xy 119.712814 60.006565) + (xy 119.713504 60) + (xy 119.707619 59.944006) + (xy 119.694232 59.816635) + (xy 119.694232 59.816633) + (xy 119.693542 59.810072) + (xy 119.634527 59.628444) + (xy 119.53904 59.463056) + (xy 119.411253 59.321134) + (xy 119.256752 59.208882) + (xy 119.250724 59.206198) + (xy 119.250722 59.206197) + (xy 119.088319 59.133891) + (xy 119.088318 59.133891) + (xy 119.082288 59.131206) + (xy 118.988888 59.111353) + (xy 118.901944 59.092872) + (xy 118.901939 59.092872) + (xy 118.895487 59.0915) + (xy 118.704513 59.0915) + (xy 118.698061 59.092872) + (xy 118.698056 59.092872) + (xy 118.611112 59.111353) + (xy 118.517712 59.131206) + (xy 118.511682 59.133891) + (xy 118.511681 59.133891) + (xy 118.349278 59.206197) + (xy 118.349276 59.206198) + (xy 118.343248 59.208882) + (xy 118.188747 59.321134) + (xy 118.06096 59.463056) + (xy 117.965473 59.628444) + (xy 117.94909 59.678866) + (xy 117.912617 59.791118) + (xy 117.906458 59.810072) + (xy 117.903012 59.842864) + (xy 117.90155 59.85677) + (xy 117.874537 59.922427) + (xy 117.865335 59.932695) + (xy 116.243435 61.554595) + (xy 116.181123 61.588621) + (xy 116.15434 61.5915) + (xy 114.011405 61.5915) + (xy 113.943284 61.571498) + (xy 113.937344 61.567436) + (xy 113.862094 61.512763) + (xy 113.862093 61.512762) + (xy 113.856752 61.508882) + (xy 113.850724 61.506198) + (xy 113.850722 61.506197) + (xy 113.688319 61.433891) + (xy 113.688318 61.433891) + (xy 113.682288 61.431206) + (xy 113.588887 61.411353) + (xy 113.501944 61.392872) + (xy 113.501939 61.392872) + (xy 113.495487 61.3915) + (xy 113.304513 61.3915) + (xy 113.298061 61.392872) + (xy 113.298056 61.392872) + (xy 113.211113 61.411353) + (xy 113.117712 61.431206) + (xy 113.111682 61.433891) + (xy 113.111681 61.433891) + (xy 112.949278 61.506197) + (xy 112.949276 61.506198) + (xy 112.943248 61.508882) + (xy 112.937907 61.512762) + (xy 112.937906 61.512763) + (xy 112.918265 61.527033) + (xy 112.788747 61.621134) + (xy 112.784326 61.626044) + (xy 112.784325 61.626045) + (xy 112.671036 61.751866) + (xy 112.66096 61.763056) + (xy 112.565473 61.928444) + (xy 112.506458 62.110072) + (xy 112.505768 62.116633) + (xy 112.505768 62.116635) + (xy 112.487186 62.293435) + (xy 112.486496 62.3) + (xy 112.487186 62.306565) + (xy 112.495576 62.38639) + (xy 112.494156 62.422523) + (xy 112.490936 62.439898) + (xy 112.488193 62.454697) + (xy 112.48863 62.462277) + (xy 112.48863 62.462278) + (xy 112.49178 62.516903) + (xy 112.491989 62.524157) + (xy 112.491989 67.871577) + (xy 112.491697 67.880147) + (xy 112.489049 67.918994) + (xy 112.487764 67.937841) + (xy 112.489069 67.945318) + (xy 112.489069 67.945319) + (xy 112.49875 68.000788) + (xy 112.499712 68.00731) + (xy 112.507387 68.070731) + (xy 112.51007 68.077832) + (xy 112.510711 68.080441) + (xy 112.515174 68.096751) + (xy 112.515939 68.099287) + (xy 112.517246 68.106773) + (xy 112.5203 68.11373) + (xy 112.542931 68.165284) + (xy 112.545424 68.171393) + (xy 112.56435 68.221479) + (xy 112.568002 68.231145) + (xy 112.572306 68.237408) + (xy 112.573543 68.239774) + (xy 112.581788 68.254586) + (xy 112.583121 68.25684) + (xy 112.586174 68.263794) + (xy 112.620647 68.308718) + (xy 112.625068 68.31448) + (xy 112.628948 68.319821) + (xy 112.660828 68.366209) + (xy 112.660833 68.366214) + (xy 112.665132 68.37247) + (xy 112.670802 68.377521) + (xy 112.670803 68.377523) + (xy 112.711659 68.413924) + (xy 112.716935 68.418905) + (xy 114.17855 69.88052) + (xy 114.184404 69.886785) + (xy 114.222439 69.930385) + (xy 114.228657 69.934755) + (xy 114.268011 69.962413) + (xy 114.312243 70.017947) + (xy 114.319429 70.088579) + (xy 114.287288 70.151883) + (xy 114.226025 70.187762) + (xy 114.195561 70.1915) + (xy 113.528911 70.1915) + (xy 113.520342 70.191208) + (xy 113.470223 70.187791) + (xy 113.470219 70.187791) + (xy 113.462647 70.187275) + (xy 113.399685 70.198264) + (xy 113.393195 70.199221) + (xy 113.329758 70.206898) + (xy 113.322649 70.209584) + (xy 113.320078 70.210216) + (xy 113.303772 70.214676) + (xy 113.301204 70.215451) + (xy 113.293716 70.216758) + (xy 113.258722 70.232119) + (xy 113.235212 70.242439) + (xy 113.229105 70.244931) + (xy 113.176452 70.264827) + (xy 113.169344 70.267513) + (xy 113.163083 70.271816) + (xy 113.160717 70.273053) + (xy 113.145937 70.28128) + (xy 113.143652 70.282631) + (xy 113.136695 70.285685) + (xy 113.130675 70.290305) + (xy 113.130669 70.290308) + (xy 113.099542 70.314194) + (xy 113.085998 70.324587) + (xy 113.080668 70.328459) + (xy 113.03428 70.360339) + (xy 113.034275 70.360344) + (xy 113.028019 70.364643) + (xy 113.022968 70.370313) + (xy 113.022966 70.370314) + (xy 112.986565 70.41117) + (xy 112.981584 70.416446) + (xy 112.31948 71.07855) + (xy 112.313215 71.084404) + (xy 112.269615 71.122439) + (xy 112.265248 71.128653) + (xy 112.232872 71.174719) + (xy 112.228939 71.180014) + (xy 112.189524 71.230282) + (xy 112.186401 71.237198) + (xy 112.185017 71.239484) + (xy 112.176643 71.254165) + (xy 112.175378 71.256525) + (xy 112.17101 71.262739) + (xy 112.16825 71.269818) + (xy 112.168249 71.26982) + (xy 112.147798 71.322275) + (xy 112.145247 71.328344) + (xy 112.118955 71.386573) + (xy 112.117571 71.39404) + (xy 112.11677 71.396595) + (xy 112.112141 71.412848) + (xy 112.111478 71.415428) + (xy 112.108718 71.422509) + (xy 112.107727 71.43004) + (xy 112.107726 71.430042) + (xy 112.100379 71.485852) + (xy 112.099348 71.492359) + (xy 112.087704 71.555186) + (xy 112.088141 71.562766) + (xy 112.088141 71.562767) + (xy 112.091291 71.617392) + (xy 112.0915 71.624646) + (xy 112.0915 71.881256) + (xy 112.071498 71.949377) + (xy 112.067436 71.955317) + (xy 112.065379 71.958148) + (xy 112.06096 71.963056) + (xy 111.965473 72.128444) + (xy 111.906458 72.310072) + (xy 111.886496 72.5) + (xy 107.6085 72.5) + (xy 107.6085 63.195604) + (xy 108.712787 63.195604) + (xy 108.722567 63.406899) + (xy 108.723971 63.412724) + (xy 108.723971 63.412725) + (xy 108.758554 63.556221) + (xy 108.772125 63.612534) + (xy 108.774607 63.617992) + (xy 108.774608 63.617996) + (xy 108.818053 63.713546) + (xy 108.859674 63.805087) + (xy 108.982054 63.977611) + (xy 108.986381 63.981753) + (xy 108.986386 63.981759) + (xy 109.077317 64.068806) + (xy 109.112694 64.130361) + (xy 109.109175 64.20127) + (xy 109.067879 64.259021) + (xy 109.056496 64.266965) + (xy 109.000652 64.301522) + (xy 108.875695 64.426697) + (xy 108.782885 64.577262) + (xy 108.727203 64.745139) + (xy 108.7165 64.8496) + (xy 108.7165 65.6504) + (xy 108.716837 65.653646) + (xy 108.716837 65.65365) + (xy 108.724942 65.731759) + (xy 108.727474 65.756166) + (xy 108.729655 65.762702) + (xy 108.729655 65.762704) + (xy 108.76094 65.856477) + (xy 108.78345 65.923946) + (xy 108.876522 66.074348) + (xy 109.001697 66.199305) + (xy 109.007927 66.203145) + (xy 109.007928 66.203146) + (xy 109.14509 66.287694) + (xy 109.152262 66.292115) + (xy 109.232005 66.318564) + (xy 109.313611 66.345632) + (xy 109.313613 66.345632) + (xy 109.320139 66.347797) + (xy 109.326975 66.348497) + (xy 109.326978 66.348498) + (xy 109.370031 66.352909) + (xy 109.4246 66.3585) + (xy 110.7754 66.3585) + (xy 110.778646 66.358163) + (xy 110.77865 66.358163) + (xy 110.874308 66.348238) + (xy 110.874312 66.348237) + (xy 110.881166 66.347526) + (xy 110.887702 66.345345) + (xy 110.887704 66.345345) + (xy 111.019806 66.301272) + (xy 111.048946 66.29155) + (xy 111.199348 66.198478) + (xy 111.324305 66.073303) + (xy 111.339964 66.047899) + (xy 111.413275 65.928968) + (xy 111.413276 65.928966) + (xy 111.417115 65.922738) + (xy 111.472797 65.754861) + (xy 111.4835 65.6504) + (xy 111.4835 64.8496) + (xy 111.472526 64.743834) + (xy 111.41655 64.576054) + (xy 111.323478 64.425652) + (xy 111.198303 64.300695) + (xy 111.146764 64.268925) + (xy 111.099271 64.216154) + (xy 111.087847 64.146082) + (xy 111.116121 64.080958) + (xy 111.135045 64.062582) + (xy 111.14292 64.056396) + (xy 111.146852 64.051865) + (xy 111.146855 64.051862) + (xy 111.277621 63.901167) + (xy 111.281552 63.896637) + (xy 111.284552 63.891451) + (xy 111.284555 63.891447) + (xy 111.384467 63.718742) + (xy 111.387473 63.713546) + (xy 111.456861 63.513729) + (xy 111.462354 63.475844) + (xy 111.486352 63.310336) + (xy 111.486352 63.310333) + (xy 111.487213 63.304396) + (xy 111.477433 63.093101) + (xy 111.432614 62.907128) + (xy 111.429281 62.893299) + (xy 111.42928 62.893297) + (xy 111.427875 62.887466) + (xy 111.4238 62.878502) + (xy 111.373084 62.766959) + (xy 111.340326 62.694913) + (xy 111.217946 62.522389) + (xy 111.06515 62.376119) + (xy 111.04835 62.365271) + (xy 111.032837 62.355254) + (xy 110.98646 62.301499) + (xy 110.976507 62.231203) + (xy 111.006139 62.166686) + (xy 111.023353 62.150317) + (xy 111.030446 62.144746) + (xy 111.14292 62.056396) + (xy 111.146852 62.051865) + (xy 111.146855 62.051862) + (xy 111.277621 61.901167) + (xy 111.281552 61.896637) + (xy 111.284552 61.891451) + (xy 111.284555 61.891447) + (xy 111.384467 61.718742) + (xy 111.387473 61.713546) + (xy 111.456861 61.513729) + (xy 111.459814 61.493365) + (xy 111.486352 61.310336) + (xy 111.486352 61.310333) + (xy 111.487213 61.304396) + (xy 111.477433 61.093101) + (xy 111.437648 60.928019) + (xy 111.429281 60.893299) + (xy 111.42928 60.893297) + (xy 111.427875 60.887466) + (xy 111.42001 60.870166) + (xy 111.342806 60.700368) + (xy 111.340326 60.694913) + (xy 111.217946 60.522389) + (xy 111.06515 60.376119) + (xy 111.060119 60.37287) + (xy 111.060112 60.372865) + (xy 111.032393 60.354967) + (xy 110.986016 60.301211) + (xy 110.976063 60.230915) + (xy 111.005696 60.166398) + (xy 111.022909 60.15003) + (xy 111.137857 60.059738) + (xy 111.146506 60.051501) + (xy 111.277212 59.900877) + (xy 111.284147 59.891153) + (xy 111.38401 59.718533) + (xy 111.388984 59.707669) + (xy 111.454407 59.519273) + (xy 111.454648 59.518284) + (xy 111.45318 59.507992) + (xy 111.439615 59.504) + (xy 108.764598 59.504) + (xy 108.751067 59.507973) + (xy 108.749712 59.517399) + (xy 108.771194 59.606537) + (xy 108.775083 59.617832) + (xy 108.857629 59.799382) + (xy 108.863576 59.809724) + (xy 108.978968 59.972397) + (xy 108.986761 59.981425) + (xy 109.130831 60.119342) + (xy 109.1402 60.126741) + (xy 109.167577 60.144418) + (xy 109.213955 60.198172) + (xy 109.223909 60.268468) + (xy 109.194278 60.332985) + (xy 109.177063 60.349356) + (xy 109.05708 60.443604) + (xy 109.053148 60.448135) + (xy 109.053145 60.448138) + (xy 108.934554 60.584802) + (xy 108.918448 60.603363) + (xy 108.915448 60.608549) + (xy 108.915445 60.608553) + (xy 108.907249 60.622721) + (xy 108.812527 60.786454) + (xy 108.743139 60.986271) + (xy 108.742278 60.992206) + (xy 108.742278 60.992208) + (xy 108.71407 61.186757) + (xy 108.712787 61.195604) + (xy 108.722567 61.406899) + (xy 108.723971 61.412724) + (xy 108.723971 61.412725) + (xy 108.766974 61.591159) + (xy 108.772125 61.612534) + (xy 108.774607 61.617992) + (xy 108.774608 61.617996) + (xy 108.818053 61.713546) + (xy 108.859674 61.805087) + (xy 108.982054 61.977611) + (xy 109.13485 62.123881) + (xy 109.139888 62.127134) + (xy 109.167163 62.144746) + (xy 109.21354 62.198501) + (xy 109.223493 62.268797) + (xy 109.193861 62.333314) + (xy 109.176649 62.349681) + (xy 109.05708 62.443604) + (xy 109.053148 62.448135) + (xy 109.053145 62.448138) + (xy 108.964754 62.55) + (xy 108.918448 62.603363) + (xy 108.915448 62.608549) + (xy 108.915445 62.608553) + (xy 108.843162 62.7335) + (xy 108.812527 62.786454) + (xy 108.743139 62.986271) + (xy 108.742278 62.992206) + (xy 108.742278 62.992208) + (xy 108.715616 63.176096) + (xy 108.712787 63.195604) + (xy 107.6085 63.195604) + (xy 107.6085 58.981716) + (xy 108.745352 58.981716) + (xy 108.74682 58.992008) + (xy 108.760385 58.996) + (xy 109.827885 58.996) + (xy 109.843124 58.991525) + (xy 109.844329 58.990135) + (xy 109.846 58.982452) + (xy 109.846 58.977885) + (xy 110.354 58.977885) + (xy 110.358475 58.993124) + (xy 110.359865 58.994329) + (xy 110.367548 58.996) + (xy 111.435402 58.996) + (xy 111.448933 58.992027) + (xy 111.450288 58.982601) + (xy 111.428806 58.893463) + (xy 111.424917 58.882168) + (xy 111.342371 58.700618) + (xy 111.336424 58.690276) + (xy 111.221032 58.527603) + (xy 111.213239 58.518575) + (xy 111.069169 58.380658) + (xy 111.059804 58.373262) + (xy 110.892259 58.265079) + (xy 110.881655 58.259583) + (xy 110.696688 58.185039) + (xy 110.68523 58.181645) + (xy 110.488072 58.143143) + (xy 110.479209 58.142066) + (xy 110.4765 58.142) + (xy 110.372115 58.142) + (xy 110.356876 58.146475) + (xy 110.355671 58.147865) + (xy 110.354 58.155548) + (xy 110.354 58.977885) + (xy 109.846 58.977885) + (xy 109.846 58.160115) + (xy 109.841525 58.144876) + (xy 109.840135 58.143671) + (xy 109.832452 58.142) + (xy 109.775168 58.142) + (xy 109.769192 58.142285) + (xy 109.620506 58.156471) + (xy 109.608772 58.15873) + (xy 109.417401 58.214872) + (xy 109.406325 58.219302) + (xy 109.229022 58.310619) + (xy 109.218976 58.317069) + (xy 109.062143 58.440262) + (xy 109.053494 58.448499) + (xy 108.922788 58.599123) + (xy 108.915853 58.608847) + (xy 108.81599 58.781467) + (xy 108.811016 58.792331) + (xy 108.745593 58.980727) + (xy 108.745352 58.981716) + (xy 107.6085 58.981716) + (xy 107.6085 56.186423) + (xy 130.978703 56.186423) + (xy 130.986227 56.196854) + (xy 131.125483 56.30902) + (xy 131.131657 56.313408) + (xy 131.402271 56.482178) + (xy 131.408931 56.485794) + (xy 131.697852 56.620827) + (xy 131.704905 56.62362) + (xy 132.00797 56.72297) + (xy 132.015282 56.724888) + (xy 132.328092 56.787109) + (xy 132.33559 56.788137) + (xy 132.65361 56.812328) + (xy 132.661173 56.812446) + (xy 132.979785 56.798257) + (xy 132.987326 56.797465) + (xy 133.301924 56.745101) + (xy 133.309302 56.743411) + (xy 133.615355 56.653625) + (xy 133.62245 56.651071) + (xy 133.915496 56.525169) + (xy 133.922263 56.521765) + (xy 134.198042 56.36158) + (xy 134.204349 56.35739) + (xy 134.414305 56.198889) + (xy 134.422761 56.187496) + (xy 134.416045 56.175256) + (xy 132.71281 54.47202) + (xy 132.698869 54.464408) + (xy 132.697034 54.464539) + (xy 132.69042 54.46879) + (xy 130.985818 56.173393) + (xy 130.978703 56.186423) + (xy 107.6085 56.186423) + (xy 107.6085 55.036423) + (xy 108.328703 55.036423) + (xy 108.336227 55.046854) + (xy 108.475483 55.15902) + (xy 108.481657 55.163408) + (xy 108.752271 55.332178) + (xy 108.758931 55.335794) + (xy 109.047852 55.470827) + (xy 109.054905 55.47362) + (xy 109.35797 55.57297) + (xy 109.365282 55.574888) + (xy 109.678092 55.637109) + (xy 109.68559 55.638137) + (xy 110.00361 55.662328) + (xy 110.011173 55.662446) + (xy 110.329785 55.648257) + (xy 110.337326 55.647465) + (xy 110.651924 55.595101) + (xy 110.659302 55.593411) + (xy 110.965355 55.503625) + (xy 110.97245 55.501071) + (xy 111.265496 55.375169) + (xy 111.272263 55.371765) + (xy 111.548042 55.21158) + (xy 111.554349 55.20739) + (xy 111.764305 55.048889) + (xy 111.772761 55.037496) + (xy 111.766045 55.025256) + (xy 110.06281 53.32202) + (xy 110.048869 53.314408) + (xy 110.047034 53.314539) + (xy 110.04042 53.31879) + (xy 108.335818 55.023393) + (xy 108.328703 55.036423) + (xy 107.6085 55.036423) + (xy 107.6085 54.587331) + (xy 107.628502 54.51921) + (xy 107.682158 54.472717) + (xy 107.752432 54.462613) + (xy 107.817012 54.492107) + (xy 107.834663 54.510889) + (xy 107.951463 54.663934) + (xy 107.962989 54.672396) + (xy 107.975054 54.665735) + (xy 109.67798 52.96281) + (xy 109.684357 52.951131) + (xy 110.414408 52.951131) + (xy 110.414539 52.952966) + (xy 110.41879 52.95958) + (xy 112.123285 54.664074) + (xy 112.136408 54.67124) + (xy 112.146709 54.663851) + (xy 112.250751 54.536055) + (xy 112.255164 54.529914) + (xy 112.425349 54.260187) + (xy 112.429005 54.253536) + (xy 112.513407 54.075383) + (xy 129.987388 54.075383) + (xy 130.003245 54.393914) + (xy 130.004076 54.401443) + (xy 130.058085 54.715759) + (xy 130.059818 54.723146) + (xy 130.151196 55.028695) + (xy 130.153799 55.035808) + (xy 130.281227 55.328173) + (xy 130.284669 55.334929) + (xy 130.446296 55.609865) + (xy 130.450519 55.61615) + (xy 130.601463 55.813934) + (xy 130.612989 55.822396) + (xy 130.625054 55.815735) + (xy 132.32798 54.11281) + (xy 132.335592 54.098869) + (xy 132.335461 54.097034) + (xy 132.33121 54.09042) + (xy 130.626445 52.385656) + (xy 130.61351 52.378592) + (xy 130.602949 52.386252) + (xy 130.482766 52.537072) + (xy 130.47841 52.54327) + (xy 130.311059 52.814764) + (xy 130.307479 52.82144) + (xy 130.173956 53.111074) + (xy 130.171206 53.118125) + (xy 130.073444 53.421708) + (xy 130.071561 53.429041) + (xy 130.010979 53.74217) + (xy 130.009992 53.74967) + (xy 129.987467 54.067802) + (xy 129.987388 54.075383) + (xy 112.513407 54.075383) + (xy 112.565544 53.965335) + (xy 112.568375 53.958295) + (xy 112.669306 53.655767) + (xy 112.67127 53.648433) + (xy 112.735122 53.335989) + (xy 112.736194 53.328465) + (xy 112.762173 53.009051) + (xy 112.762378 53.004576) + (xy 112.762927 52.952221) + (xy 112.762817 52.947789) + (xy 112.743529 52.627853) + (xy 112.742621 52.620351) + (xy 112.685319 52.306593) + (xy 112.683518 52.29926) + (xy 112.594763 52.013423) + (xy 130.977917 52.013423) + (xy 130.98452 52.025309) + (xy 132.68719 53.72798) + (xy 132.701131 53.735592) + (xy 132.702966 53.735461) + (xy 132.70958 53.73121) + (xy 134.414559 52.02623) + (xy 134.421571 52.013389) + (xy 134.413777 52.002701) + (xy 134.251298 51.874613) + (xy 134.245075 51.870288) + (xy 133.972702 51.704357) + (xy 133.966025 51.700822) + (xy 133.675686 51.568813) + (xy 133.668616 51.566099) + (xy 133.364537 51.469932) + (xy 133.357186 51.468085) + (xy 133.043746 51.409142) + (xy 133.036237 51.408194) + (xy 132.717989 51.387335) + (xy 132.710424 51.387295) + (xy 132.391964 51.404821) + (xy 132.38445 51.40569) + (xy 132.070405 51.461348) + (xy 132.063044 51.463115) + (xy 131.75798 51.556092) + (xy 131.75086 51.55874) + (xy 131.459182 51.68769) + (xy 131.452445 51.691167) + (xy 131.178355 51.854233) + (xy 131.172091 51.85849) + (xy 130.986385 52.001762) + (xy 130.977917 52.013423) + (xy 112.594763 52.013423) + (xy 112.588935 51.994655) + (xy 112.586263 51.987583) + (xy 112.455781 51.69657) + (xy 112.452264 51.689843) + (xy 112.287771 51.416621) + (xy 112.283481 51.410377) + (xy 112.147991 51.236647) + (xy 112.136199 51.228178) + (xy 112.124486 51.234725) + (xy 110.42202 52.93719) + (xy 110.414408 52.951131) + (xy 109.684357 52.951131) + (xy 109.685592 52.948869) + (xy 109.685461 52.947034) + (xy 109.68121 52.94042) + (xy 107.976445 51.235656) + (xy 107.96351 51.228592) + (xy 107.952949 51.236252) + (xy 107.83304 51.386728) + (xy 107.774945 51.427537) + (xy 107.704008 51.43046) + (xy 107.642753 51.394567) + (xy 107.610626 51.331255) + (xy 107.6085 51.308205) + (xy 107.6085 50.862818) + (xy 107.628502 50.794697) + (xy 107.645405 50.773722) + (xy 107.773724 50.645404) + (xy 107.836036 50.611379) + (xy 107.862819 50.6085) + (xy 108.282104 50.6085) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 112.309532 83.655183) + (xy 112.366368 83.69773) + (xy 112.391179 83.76425) + (xy 112.3915 83.773239) + (xy 112.3915 88.315164) + (xy 112.371498 88.383285) + (xy 112.370188 88.38509) + (xy 112.369493 88.385771) + (xy 112.367894 88.388253) + (xy 112.367892 88.388255) + (xy 112.290961 88.507629) + (xy 112.271235 88.538238) + (xy 112.209197 88.708685) + (xy 112.196666 88.807881) + (xy 112.194429 88.825586) + (xy 112.166047 88.890663) + (xy 112.106988 88.930064) + (xy 112.103433 88.931008) + (xy 112.099199 88.931453) + (xy 111.930472 88.988892) + (xy 111.85954 88.99191) + (xy 111.81245 88.968571) + (xy 111.809815 88.965918) + (xy 111.656666 88.868727) + (xy 111.627463 88.858328) + (xy 111.492425 88.810243) + (xy 111.49242 88.810242) + (xy 111.48579 88.807881) + (xy 111.478802 88.807048) + (xy 111.478799 88.807047) + (xy 111.355698 88.792368) + (xy 111.30568 88.786404) + (xy 111.298677 88.78714) + (xy 111.298675 88.78714) + (xy 111.24623 88.792652) + (xy 111.176392 88.77988) + (xy 111.124545 88.731377) + (xy 111.10706 88.667342) + (xy 111.10706 84.805679) + (xy 111.127062 84.737558) + (xy 111.143965 84.716584) + (xy 112.176405 83.684144) + (xy 112.238717 83.650118) + ) + ) + ) +) diff --git a/reform2-trackball2-pcb/reform2-trackball2.kicad_pro b/reform2-trackball2-pcb/reform2-trackball2.kicad_pro @@ -0,0 +1,493 @@ +{ + "board": { + "design_settings": { + "defaults": { + "board_outline_line_width": 0.09999999999999999, + "copper_line_width": 0.19999999999999998, + "copper_text_italic": false, + "copper_text_size_h": 1.5, + "copper_text_size_v": 1.5, + "copper_text_thickness": 0.3, + "copper_text_upright": false, + "courtyard_line_width": 0.049999999999999996, + "dimension_precision": 4, + "dimension_units": 3, + "dimensions": { + "arrow_length": 1270000, + "extension_offset": 500000, + "keep_text_aligned": true, + "suppress_zeroes": false, + "text_position": 0, + "units_format": 1 + }, + "fab_line_width": 0.09999999999999999, + "fab_text_italic": false, + "fab_text_size_h": 1.0, + "fab_text_size_v": 1.0, + "fab_text_thickness": 0.15, + "fab_text_upright": false, + "other_line_width": 0.09999999999999999, + "other_text_italic": false, + "other_text_size_h": 1.0, + "other_text_size_v": 1.0, + "other_text_thickness": 0.15, + "other_text_upright": false, + "pads": { + "drill": 2.2, + "height": 4.4, + "width": 4.4 + }, + "silk_line_width": 0.15, + "silk_text_italic": false, + "silk_text_size_h": 1.0, + "silk_text_size_v": 1.0, + "silk_text_thickness": 0.15, + "silk_text_upright": false, + "zones": { + "45_degree_only": false, + "min_clearance": 0.508 + } + }, + "diff_pair_dimensions": [ + { + "gap": 0.0, + "via_gap": 0.0, + "width": 0.0 + } + ], + "drc_exclusions": [], + "meta": { + "filename": "board_design_settings.json", + "version": 2 + }, + "rule_severities": { + "annular_width": "error", + "clearance": "error", + "copper_edge_clearance": "error", + "courtyards_overlap": "error", + "diff_pair_gap_out_of_range": "error", + "diff_pair_uncoupled_length_too_long": "error", + "drill_out_of_range": "error", + "duplicate_footprints": "warning", + "extra_footprint": "warning", + "footprint_type_mismatch": "error", + "hole_clearance": "error", + "hole_near_hole": "error", + "invalid_outline": "error", + "item_on_disabled_layer": "error", + "items_not_allowed": "error", + "length_out_of_range": "error", + "malformed_courtyard": "error", + "microvia_drill_out_of_range": "error", + "missing_courtyard": "ignore", + "missing_footprint": "warning", + "net_conflict": "warning", + "npth_inside_courtyard": "ignore", + "padstack": "error", + "pth_inside_courtyard": "ignore", + "shorting_items": "error", + "silk_over_copper": "warning", + "silk_overlap": "warning", + "skew_out_of_range": "error", + "through_hole_pad_without_hole": "error", + "too_many_vias": "error", + "track_dangling": "warning", + "track_width": "error", + "tracks_crossing": "error", + "unconnected_items": "error", + "unresolved_variable": "error", + "via_dangling": "warning", + "zone_has_empty_net": "error", + "zones_intersect": "error" + }, + "rule_severitieslegacy_courtyards_overlap": true, + "rule_severitieslegacy_no_courtyard_defined": false, + "rules": { + "allow_blind_buried_vias": false, + "allow_microvias": false, + "max_error": 0.005, + "min_clearance": 0.0, + "min_copper_edge_clearance": 0.049999999999999996, + "min_hole_clearance": 0.25, + "min_hole_to_hole": 0.25, + "min_microvia_diameter": 0.19999999999999998, + "min_microvia_drill": 0.09999999999999999, + "min_silk_clearance": 0.0, + "min_through_hole_diameter": 0.3, + "min_track_width": 0.19999999999999998, + "min_via_annular_width": 0.049999999999999996, + "min_via_diameter": 0.39999999999999997, + "use_height_for_length_calcs": true + }, + "track_widths": [ + 0.0 + ], + "via_dimensions": [ + { + "diameter": 0.0, + "drill": 0.0 + } + ], + "zones_allow_external_fillets": false, + "zones_use_no_outline": true + }, + "layer_presets": [] + }, + "boards": [], + "cvpcb": { + "equivalence_files": [] + }, + "erc": { + "erc_exclusions": [], + "meta": { + "version": 0 + }, + "pin_map": [ + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 1, + 0, + 1, + 2 + ], + [ + 0, + 1, + 0, + 0, + 0, + 0, + 1, + 1, + 2, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 2 + ], + [ + 1, + 1, + 1, + 1, + 1, + 0, + 1, + 1, + 1, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 1, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 2, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2 + ] + ], + "rule_severities": { + "bus_definition_conflict": "error", + "bus_entry_needed": "error", + "bus_label_syntax": "error", + "bus_to_bus_conflict": "error", + "bus_to_net_conflict": "error", + "different_unit_footprint": "error", + "different_unit_net": "error", + "duplicate_reference": "error", + "duplicate_sheet_names": "error", + "extra_units": "error", + "global_label_dangling": "warning", + "hier_label_mismatch": "error", + "label_dangling": "error", + "lib_symbol_issues": "warning", + "multiple_net_names": "warning", + "net_not_bus_member": "warning", + "no_connect_connected": "warning", + "no_connect_dangling": "warning", + "pin_not_connected": "error", + "pin_not_driven": "error", + "pin_to_pin": "warning", + "power_pin_not_driven": "error", + "similar_labels": "warning", + "unannotated": "error", + "unit_value_mismatch": "error", + "unresolved_variable": "error", + "wire_dangling": "error" + } + }, + "libraries": { + "pinned_footprint_libs": [], + "pinned_symbol_libs": [] + }, + "meta": { + "filename": "reform2-trackball2.kicad_pro", + "version": 1 + }, + "net_settings": { + "classes": [ + { + "bus_width": 12.0, + "clearance": 0.2, + "diff_pair_gap": 0.25, + "diff_pair_via_gap": 0.25, + "diff_pair_width": 0.2, + "line_style": 0, + "microvia_diameter": 0.3, + "microvia_drill": 0.1, + "name": "Default", + "pcb_color": "rgba(0, 0, 0, 0.000)", + "schematic_color": "rgba(0, 0, 0, 0.000)", + "track_width": 0.3, + "via_diameter": 0.8, + "via_drill": 0.4, + "wire_width": 6.0 + }, + { + "bus_width": 12.0, + "clearance": 0.2, + "diff_pair_gap": 0.25, + "diff_pair_via_gap": 0.25, + "diff_pair_width": 0.2, + "line_style": 0, + "microvia_diameter": 0.3, + "microvia_drill": 0.1, + "name": "Fine", + "nets": [ + "/D+", + "/D-", + "/PD+", + "/PD-", + "/UD+", + "/UD-", + "MT", + "PROG", + "QSPI_CS", + "QSPI_SCK", + "QSPI_SD0", + "QSPI_SD1", + "QSPI_SD2", + "QSPI_SD3", + "RESET", + "SCL", + "SDA", + "SWC", + "SWD" + ], + "pcb_color": "rgba(0, 0, 0, 0.000)", + "schematic_color": "rgba(0, 0, 0, 0.000)", + "track_width": 0.2, + "via_diameter": 0.6, + "via_drill": 0.3, + "wire_width": 6.0 + }, + { + "bus_width": 12.0, + "clearance": 0.2, + "diff_pair_gap": 0.25, + "diff_pair_via_gap": 0.25, + "diff_pair_width": 0.2, + "line_style": 0, + "microvia_diameter": 0.3, + "microvia_drill": 0.1, + "name": "PWR", + "nets": [ + "+5V", + "GND" + ], + "pcb_color": "rgba(0, 0, 0, 0.000)", + "schematic_color": "rgba(0, 0, 0, 0.000)", + "track_width": 0.4, + "via_diameter": 0.8, + "via_drill": 0.4, + "wire_width": 6.0 + } + ], + "meta": { + "version": 2 + }, + "net_colors": null + }, + "pcbnew": { + "last_paths": { + "gencad": "", + "idf": "", + "netlist": "", + "specctra_dsn": "", + "step": "", + "vrml": "" + }, + "page_layout_descr_file": "" + }, + "schematic": { + "annotate_start_num": 0, + "drawing": { + "default_line_thickness": 6.0, + "default_text_size": 60.0, + "field_names": [], + "intersheets_ref_own_page": false, + "intersheets_ref_prefix": "", + "intersheets_ref_short": false, + "intersheets_ref_show": false, + "intersheets_ref_suffix": "", + "junction_size_choice": 3, + "label_size_ratio": 0.25, + "pin_symbol_size": 0.0, + "text_offset_ratio": 0.08 + }, + "legacy_lib_dir": "", + "legacy_lib_list": [], + "meta": { + "version": 1 + }, + "net_format_name": "Pcbnew", + "ngspice": { + "fix_include_paths": true, + "fix_passive_vals": false, + "meta": { + "version": 0 + }, + "model_mode": 0, + "workbook_filename": "" + }, + "page_layout_descr_file": "", + "plot_directory": "../reform2-schematics-pdf/", + "spice_adjust_passive_values": false, + "spice_external_command": "spice \"%I\"", + "subpart_first_id": 65, + "subpart_id_separator": 0 + }, + "sheets": [ + [ + "6475547d-3216-45a4-a15c-48314f1dd0f9", + "" + ] + ], + "text_variables": {} +} diff --git a/reform2-trackball2-pcb/reform2-trackball2.kicad_sch b/reform2-trackball2-pcb/reform2-trackball2.kicad_sch @@ -0,0 +1,6206 @@ +(kicad_sch (version 20211123) (generator eeschema) + + (uuid 6475547d-3216-45a4-a15c-48314f1dd0f9) + + (paper "A3") + + (title_block + (title "MNT Reform 2 Trackball 2.0 (Fixed)") + (date "2022-08-22") + (rev "2.0R-3") + (company "Copyright 2017-2022 MNT Research GmbH") + (comment 1 "https://mntre.com") + (comment 2 "Engineer: Lukas F. Hartmann") + (comment 3 "License: CERN-OHL-S 2.0") + ) + + (lib_symbols + (symbol "Connector:Conn_01x04_Male" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 0 5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_01x04_Male" (id 1) (at 0 -7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Generic connector, single row, 01x04, script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Connector*:*_1x??_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Conn_01x04_Male_1_1" + (polyline + (pts + (xy 1.27 -5.08) + (xy 0.8636 -5.08) + ) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -2.54) + (xy 0.8636 -2.54) + ) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 0) + (xy 0.8636 0) + ) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 2.54) + (xy 0.8636 2.54) + ) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 0.8636 -4.953) (end 0 -5.207) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (rectangle (start 0.8636 -2.413) (end 0 -2.667) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (rectangle (start 0.8636 0.127) (end 0 -0.127) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (rectangle (start 0.8636 2.667) (end 0 2.413) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (pin passive line (at 5.08 2.54 180) (length 3.81) + (name "Pin_1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 5.08 0 180) (length 3.81) + (name "Pin_2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 5.08 -2.54 180) (length 3.81) + (name "Pin_3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 5.08 -5.08 180) (length 3.81) + (name "Pin_4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Connector:Conn_01x06_Female" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 0 7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_01x06_Female" (id 1) (at 0 -10.16 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Generic connector, single row, 01x06, script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Connector*:*_1x??_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Conn_01x06_Female_1_1" + (arc (start 0 -7.112) (mid -0.508 -7.62) (end 0 -8.128) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (arc (start 0 -4.572) (mid -0.508 -5.08) (end 0 -5.588) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (arc (start 0 -2.032) (mid -0.508 -2.54) (end 0 -3.048) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 -7.62) + (xy -0.508 -7.62) + ) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 -5.08) + (xy -0.508 -5.08) + ) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 -2.54) + (xy -0.508 -2.54) + ) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 0) + (xy -0.508 0) + ) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 2.54) + (xy -0.508 2.54) + ) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 5.08) + (xy -0.508 5.08) + ) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (arc (start 0 0.508) (mid -0.508 0) (end 0 -0.508) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (arc (start 0 3.048) (mid -0.508 2.54) (end 0 2.032) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (arc (start 0 5.588) (mid -0.508 5.08) (end 0 4.572) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (pin passive line (at -5.08 5.08 0) (length 3.81) + (name "Pin_1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 2.54 0) (length 3.81) + (name "Pin_2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 0 0) (length 3.81) + (name "Pin_3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -2.54 0) (length 3.81) + (name "Pin_4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -5.08 0) (length 3.81) + (name "Pin_5" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -7.62 0) (length 3.81) + (name "Pin_6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Connector:TestPoint" (pin_numbers hide) (pin_names (offset 0.762) hide) (in_bom yes) (on_board yes) + (property "Reference" "TP" (id 0) (at 0 6.858 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TestPoint" (id 1) (at 0 5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "test point tp" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "test point" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Pin* Test*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "TestPoint_0_1" + (circle (center 0 3.302) (radius 0.762) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "TestPoint_1_1" + (pin passive line (at 0 0 90) (length 2.54) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:C_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes) + (property "Reference" "C" (id 0) (at 0.254 1.778 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "C_Small" (id 1) (at 0.254 -2.032 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "capacitor cap" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Unpolarized capacitor, small symbol" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "C_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "C_Small_0_1" + (polyline + (pts + (xy -1.524 -0.508) + (xy 1.524 -0.508) + ) + (stroke (width 0.3302) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.524 0.508) + (xy 1.524 0.508) + ) + (stroke (width 0.3048) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "C_Small_1_1" + (pin passive line (at 0 2.54 270) (length 2.032) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -2.54 90) (length 2.032) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:Crystal_GND24" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "Y" (id 0) (at 3.175 5.08 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Crystal_GND24" (id 1) (at 3.175 3.175 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "quartz ceramic resonator oscillator" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Four pin crystal, GND on pins 2 and 4" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Crystal*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Crystal_GND24_0_1" + (rectangle (start -1.143 2.54) (end 1.143 -2.54) + (stroke (width 0.3048) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.54 0) + (xy -2.032 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.032 -1.27) + (xy -2.032 1.27) + ) + (stroke (width 0.508) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -3.81) + (xy 0 -3.556) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 3.556) + (xy 0 3.81) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.032 -1.27) + (xy 2.032 1.27) + ) + (stroke (width 0.508) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.032 0) + (xy 2.54 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.54 -2.286) + (xy -2.54 -3.556) + (xy 2.54 -3.556) + (xy 2.54 -2.286) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.54 2.286) + (xy -2.54 3.556) + (xy 2.54 3.556) + (xy 2.54 2.286) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "Crystal_GND24_1_1" + (pin passive line (at -3.81 0 0) (length 1.27) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 5.08 270) (length 1.27) + (name "2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 3.81 0 180) (length 1.27) + (name "3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -5.08 90) (length 1.27) + (name "4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:Polyfuse" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "F" (id 0) (at -2.54 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Polyfuse" (id 1) (at 2.54 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 1.27 -5.08 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "resettable fuse PTC PPTC polyfuse polyswitch" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Resettable fuse, polymeric positive temperature coefficient" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "*polyfuse* *PTC*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Polyfuse_0_1" + (rectangle (start -0.762 2.54) (end 0.762 -2.54) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0 -2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.524 2.54) + (xy -1.524 1.524) + (xy 1.524 -1.524) + (xy 1.524 -2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "Polyfuse_1_1" + (pin passive line (at 0 3.81 270) (length 1.27) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -3.81 90) (length 1.27) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:R_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes) + (property "Reference" "R" (id 0) (at 0.762 0.508 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "R_Small" (id 1) (at 0.762 -1.016 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "R resistor" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Resistor, small symbol" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "R_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "R_Small_0_1" + (rectangle (start -0.762 1.778) (end 0.762 -1.778) + (stroke (width 0.2032) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "R_Small_1_1" + (pin passive line (at 0 2.54 270) (length 0.762) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -2.54 90) (length 0.762) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Diode:BZT52Bxx" (pin_numbers hide) (pin_names hide) (in_bom yes) (on_board yes) + (property "Reference" "D" (id 0) (at 0 2.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "BZT52Bxx" (id 1) (at 0 -2.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Diode_SMD:D_SOD-123F" (id 2) (at 0 -4.445 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://diotec.com/tl_files/diotec/files/pdf/datasheets/bzt52b2v4.pdf" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "zener diode" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "500mW Zener Diode, SOD-123F" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "D?SOD?123F*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "BZT52Bxx_0_1" + (polyline + (pts + (xy 1.27 0) + (xy -1.27 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 -1.27) + (xy -1.27 1.27) + (xy -0.762 1.27) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -1.27) + (xy 1.27 1.27) + (xy -1.27 0) + (xy 1.27 -1.27) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "BZT52Bxx_1_1" + (pin passive line (at -3.81 0 0) (length 2.54) + (name "K" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 3.81 0 180) (length 2.54) + (name "A" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Logic_LevelTranslator:SN74LV1T34DCK" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 5.08 6.35 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "SN74LV1T34DCK" (id 1) (at 5.08 3.81 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-353_SC-70-5" (id 2) (at 20.32 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/sn74lv1t34.pdf" (id 3) (at -10.16 -5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "single buffer level shift" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Single Power Supply, Single Buffer GATE, CMOS Logic, Level Shifter, SOT-353" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?353*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SN74LV1T34DCK_0_1" + (rectangle (start -5.08 5.08) (end 5.08 -5.08) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (polyline + (pts + (xy -0.762 0) + (xy -2.54 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.016 0) + (xy 2.54 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "SN74LV1T34DCK_1_1" + (polyline + (pts + (xy -0.762 -0.762) + (xy -0.762 0.762) + (xy 1.016 0) + (xy -0.762 -0.762) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (pin no_connect line (at -5.08 2.54 0) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -7.62 0 0) (length 2.54) + (name "A" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -7.62 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 7.62 0 180) (length 2.54) + (name "Y" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 7.62 270) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "MCU_RaspberryPi_and_Boards:RP2040" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at -29.21 49.53 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "RP2040" (id 1) (at 24.13 -49.53 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "RP2040_minimal:RP2040-QFN-56" (id 2) (at -19.05 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at -19.05 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "RP2040_0_0" + (text "Raspberry Pi" (at 0 5.08 0) + (effects (font (size 2.54 2.54))) + ) + (text "RP2040" (at 0 0 0) + (effects (font (size 2.54 2.54))) + ) + ) + (symbol "RP2040_0_1" + (rectangle (start 29.21 48.26) (end -29.21 -48.26) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "RP2040_1_1" + (pin power_in line (at 8.89 50.8 270) (length 2.54) + (name "IOVDD" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 6.35 50.8 270) (length 2.54) + (name "IOVDD" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 31.75 12.7 180) (length 2.54) + (name "GPIO8" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 31.75 10.16 180) (length 2.54) + (name "GPIO9" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 31.75 7.62 180) (length 2.54) + (name "GPIO10" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 31.75 5.08 180) (length 2.54) + (name "GPIO11" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 31.75 2.54 180) (length 2.54) + (name "GPIO12" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 31.75 0 180) (length 2.54) + (name "GPIO13" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 31.75 -2.54 180) (length 2.54) + (name "GPIO14" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 31.75 -5.08 180) (length 2.54) + (name "GPIO15" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -12.7 -50.8 90) (length 2.54) + (name "TESTEN" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 31.75 33.02 180) (length 2.54) + (name "GPIO0" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -31.75 -2.54 0) (length 2.54) + (name "XIN" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -31.75 -7.62 0) (length 2.54) + (name "XOUT" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 3.81 50.8 270) (length 2.54) + (name "IOVDD" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -17.78 50.8 270) (length 2.54) + (name "DVDD" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -31.75 -31.75 0) (length 2.54) + (name "SWCLK" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -31.75 -34.29 0) (length 2.54) + (name "SWD" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -31.75 -20.32 0) (length 2.54) + (name "RUN" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 31.75 -7.62 180) (length 2.54) + (name "GPIO16" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 31.75 -10.16 180) (length 2.54) + (name "GPIO17" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 31.75 -12.7 180) (length 2.54) + (name "GPIO18" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 31.75 30.48 180) (length 2.54) + (name "GPIO1" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 31.75 -15.24 180) (length 2.54) + (name "GPIO19" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 31.75 -17.78 180) (length 2.54) + (name "GPIO20" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 31.75 -20.32 180) (length 2.54) + (name "GPIO21" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 1.27 50.8 270) (length 2.54) + (name "IOVDD" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 31.75 -22.86 180) (length 2.54) + (name "GPIO22" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 31.75 -25.4 180) (length 2.54) + (name "GPIO23" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 31.75 -27.94 180) (length 2.54) + (name "GPIO24" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 31.75 -30.48 180) (length 2.54) + (name "GPIO25" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 31.75 -35.56 180) (length 2.54) + (name "GPIO26_ADC0" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 31.75 -38.1 180) (length 2.54) + (name "GPIO27_ADC1" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 31.75 27.94 180) (length 2.54) + (name "GPIO2" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 31.75 -40.64 180) (length 2.54) + (name "GPIO28_ADC2" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 31.75 -43.18 180) (length 2.54) + (name "GPIO29_ADC3" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -1.27 50.8 270) (length 2.54) + (name "IOVDD" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 16.51 50.8 270) (length 2.54) + (name "ADC_AVDD" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -8.89 50.8 270) (length 2.54) + (name "VREG_IN" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -12.7 50.8 270) (length 2.54) + (name "VREG_VOUT" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 31.75 40.64 180) (length 2.54) + (name "USB_DM" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 31.75 43.18 180) (length 2.54) + (name "USB_DP" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 50.8 270) (length 2.54) + (name "USB_VDD" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 50.8 270) (length 2.54) + (name "IOVDD" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 31.75 25.4 180) (length 2.54) + (name "GPIO3" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -20.32 50.8 270) (length 2.54) + (name "DVDD" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -31.75 20.32 0) (length 2.54) + (name "QSPI_SD3" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -31.75 16.51 0) (length 2.54) + (name "QSPI_SCLK" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -31.75 27.94 0) (length 2.54) + (name "QSPI_SD0" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -31.75 22.86 0) (length 2.54) + (name "QSPI_SD2" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -31.75 25.4 0) (length 2.54) + (name "QSPI_SD1" (effects (font (size 1.27 1.27)))) + (number "55" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -31.75 31.75 0) (length 2.54) + (name "QSPI_SS" (effects (font (size 1.27 1.27)))) + (number "56" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -50.8 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "57" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 31.75 22.86 180) (length 2.54) + (name "GPIO4" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 31.75 20.32 180) (length 2.54) + (name "GPIO5" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 31.75 17.78 180) (length 2.54) + (name "GPIO6" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 31.75 15.24 180) (length 2.54) + (name "GPIO7" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Mechanical:MountingHole" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "H" (id 0) (at 0 5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MountingHole" (id 1) (at 0 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "mounting hole" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Mounting Hole without connection" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "MountingHole*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MountingHole_0_1" + (circle (center 0 0) (radius 1.27) + (stroke (width 1.27) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + ) + (symbol "Mechanical:MountingHole_Pad" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "H" (id 0) (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MountingHole_Pad" (id 1) (at 0 4.445 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "mounting hole" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Mounting Hole with connection" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "MountingHole*Pad*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MountingHole_Pad_0_1" + (circle (center 0 1.27) (radius 1.27) + (stroke (width 1.27) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "MountingHole_Pad_1_1" + (pin input line (at 0 -2.54 90) (length 2.54) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Memory_Flash:W25Q32JVSS" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at -8.89 8.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "W25Q32JVSS" (id 1) (at 7.62 8.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Package_SO:SOIC-8_5.23x5.23mm_P1.27mm" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.winbond.com/resource-files/w25q32jv%20revg%2003272018%20plus.pdf" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "flash memory SPI" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "32Mb Serial Flash Memory, Standard/Dual/Quad SPI, SOIC-8" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOIC*5.23x5.23mm*P1.27mm*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "W25Q32JVSS_0_1" + (rectangle (start -10.16 7.62) (end 10.16 -7.62) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "W25Q32JVSS_1_1" + (pin input line (at -12.7 2.54 0) (length 2.54) + (name "~{CS}" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 2.54 180) (length 2.54) + (name "DO(IO1)" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -2.54 180) (length 2.54) + (name "IO2" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -10.16 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 5.08 180) (length 2.54) + (name "DI(IO0)" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -2.54 0) (length 2.54) + (name "CLK" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -5.08 180) (length 2.54) + (name "IO3" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 10.16 270) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Power_Protection:USBLC6-2SC6" (pin_names hide) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 2.54 8.89 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "USBLC6-2SC6" (id 1) (at 2.54 -8.89 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-6" (id 2) (at 0 -12.7 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.st.com/resource/en/datasheet/usblc6-2.pdf" (id 3) (at 5.08 8.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "usb ethernet video" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Very low capacitance ESD protection diode, 2 data-line, SOT-23-6" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?23*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "USBLC6-2SC6_0_1" + (rectangle (start -7.62 -7.62) (end 7.62 7.62) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (circle (center -5.08 0) (radius 0.254) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (circle (center -2.54 0) (radius 0.254) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (rectangle (start -2.54 6.35) (end 2.54 -6.35) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (circle (center 0 -6.35) (radius 0.254) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (polyline + (pts + (xy -5.08 -2.54) + (xy -7.62 -2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -5.08 0) + (xy -5.08 -2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -5.08 2.54) + (xy -7.62 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.524 -2.794) + (xy -3.556 -2.794) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.524 4.826) + (xy -3.556 4.826) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -7.62) + (xy 0 -6.35) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -6.35) + (xy 0 1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 1.27) + (xy 0 6.35) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 6.35) + (xy 0 7.62) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.524 -2.794) + (xy 3.556 -2.794) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.524 4.826) + (xy 3.556 4.826) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.08 -2.54) + (xy 7.62 -2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.08 0) + (xy 5.08 -2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.08 2.54) + (xy 7.62 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.54 0) + (xy -5.08 0) + (xy -5.08 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.54 0) + (xy 5.08 0) + (xy 5.08 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -3.556 -4.826) + (xy -1.524 -4.826) + (xy -2.54 -2.794) + (xy -3.556 -4.826) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -3.556 2.794) + (xy -1.524 2.794) + (xy -2.54 4.826) + (xy -3.556 2.794) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.016 -1.016) + (xy 1.016 -1.016) + (xy 0 1.016) + (xy -1.016 -1.016) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.016 1.016) + (xy 0.762 1.016) + (xy -1.016 1.016) + (xy -1.016 0.508) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 3.556 -4.826) + (xy 1.524 -4.826) + (xy 2.54 -2.794) + (xy 3.556 -4.826) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 3.556 2.794) + (xy 1.524 2.794) + (xy 2.54 4.826) + (xy 3.556 2.794) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (circle (center 0 6.35) (radius 0.254) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (circle (center 2.54 0) (radius 0.254) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (circle (center 5.08 0) (radius 0.254) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + ) + (symbol "USBLC6-2SC6_1_1" + (pin passive line (at -10.16 -2.54 0) (length 2.54) + (name "I/O1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -10.16 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -2.54 180) (length 2.54) + (name "I/O2" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 2.54 180) (length 2.54) + (name "I/O2" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 10.16 270) (length 2.54) + (name "VBUS" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 2.54 0) (length 2.54) + (name "I/O1" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Switch:SW_DIP_x01" (pin_names (offset 0) hide) (in_bom yes) (on_board yes) + (property "Reference" "SW" (id 0) (at 0 3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SW_DIP_x01" (id 1) (at 0 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "dip switch" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "1x DIP Switch, Single Pole Single Throw (SPST) switch, small symbol" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SW?DIP?x1*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SW_DIP_x01_0_0" + (circle (center -2.032 0) (radius 0.508) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.524 0.127) + (xy 2.3622 1.1684) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (circle (center 2.032 0) (radius 0.508) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "SW_DIP_x01_0_1" + (rectangle (start -3.81 2.54) (end 3.81 -2.54) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "SW_DIP_x01_1_1" + (pin passive line (at -7.62 0 0) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 0 180) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Switch:SW_Push" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "SW" (id 0) (at 1.27 2.54 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "SW_Push" (id 1) (at 0 -1.524 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "switch normally-open pushbutton push-button" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Push button switch, generic, two pins" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SW_Push_0_1" + (circle (center -2.032 0) (radius 0.508) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 1.27) + (xy 0 3.048) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.54 1.27) + (xy -2.54 1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (circle (center 2.032 0) (radius 0.508) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (pin passive line (at -5.08 0 0) (length 2.54) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 5.08 0 180) (length 2.54) + (name "2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "pocket-reform-keyboard:SK6805-EC15" (pin_names (offset 0.254)) (in_bom yes) (on_board yes) + (property "Reference" "D61" (id 0) (at 2.54 6.8707 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SK6805-EC15" (id 1) (at 5.08 -7.0993 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "footprints:SK6805-EC15" (id 2) (at 1.27 -7.62 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) + ) + (property "Datasheet" "https://www.exp-tech.de/media/pdf/SK6805-EC15-REV-05-EN.pdf" (id 3) (at 2.54 -9.525 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) + ) + (property "ki_keywords" "RGB LED NeoPixel Nano addressable" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "RGB LED with integrated controller" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "LED*SK6805*PLCC*2.4x2.7mm*P1.3mm*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SK6805-EC15_0_0" + (text "RGB" (at 2.286 -4.191 0) + (effects (font (size 0.762 0.762))) + ) + ) + (symbol "SK6805-EC15_0_1" + (polyline + (pts + (xy 1.27 -3.556) + (xy 1.778 -3.556) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -2.54) + (xy 1.778 -2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 4.699 -3.556) + (xy 2.667 -3.556) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -2.54) + (xy 1.27 -3.556) + (xy 1.27 -3.048) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -1.524) + (xy 1.27 -2.54) + (xy 1.27 -2.032) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 3.683 -1.016) + (xy 3.683 -3.556) + (xy 3.683 -4.064) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 4.699 -1.524) + (xy 2.667 -1.524) + (xy 3.683 -3.556) + (xy 4.699 -1.524) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 5.08 5.08) (end -5.08 -5.08) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "SK6805-EC15_1_1" + (pin input line (at -7.62 0 0) (length 2.54) + (name "DIN" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 7.62 270) (length 2.54) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 7.62 0 180) (length 2.54) + (name "DOUT" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -7.62 90) (length 2.54) + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+1V1" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+1V1" (id 1) (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+1V1\"" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+1V1_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "+1V1_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+1V1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+3V3" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+3V3\"" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+3V3_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "+3V3_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+3V3" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (id 1) (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+5V\"" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+5V_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "+5V_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 0 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 -1.27) + (xy 1.27 -1.27) + (xy 0 -2.54) + (xy -1.27 -1.27) + (xy 0 -1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "GND_1_1" + (pin power_in line (at 0 0 270) (length 0) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:PWR_FLAG" (power) (pin_numbers hide) (pin_names (offset 0) hide) (in_bom yes) (on_board yes) + (property "Reference" "#FLG" (id 0) (at 0 1.905 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "PWR_FLAG" (id 1) (at 0 3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Special symbol for telling ERC where power comes from" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "PWR_FLAG_0_0" + (pin power_out line (at 0 0 90) (length 0) + (name "pwr" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "PWR_FLAG_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 1.27) + (xy -1.016 1.905) + (xy 0 2.54) + (xy 1.016 1.905) + (xy 0 1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + ) + (symbol "reform2-trackball2-rescue:Choc-reform-trackball" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "SW" (id 0) (at 3.81 -1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Choc-reform-trackball" (id 1) (at -3.81 -1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (symbol "Choc-reform-trackball_0_1" + (rectangle (start -4.318 1.27) (end 4.318 1.524) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.016 1.524) + (xy -0.762 2.286) + (xy 0.762 2.286) + (xy 1.016 1.524) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "Choc-reform-trackball_1_1" + (pin passive inverted (at 0 8.89 270) (length 5.08) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive inverted (at 0 -5.08 90) (length 5.08) + (name "2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "reform2-trackball2-rescue:Ferrite_Bead_Small-Device" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "FB" (id 0) (at 1.905 1.27 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Ferrite_Bead_Small-Device" (id 1) (at 1.905 -1.27 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at -1.778 0 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Inductor_* L_* *Ferrite*" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Ferrite_Bead_Small-Device_0_1" + (polyline + (pts + (xy 0 -1.27) + (xy 0 -0.7874) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0.889) + (xy 0 1.2954) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.8288 0.2794) + (xy -1.1176 1.4986) + (xy 1.8288 -0.2032) + (xy 1.1176 -1.4224) + (xy -1.8288 0.2794) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "Ferrite_Bead_Small-Device_1_1" + (pin passive line (at 0 2.54 270) (length 1.27) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -2.54 90) (length 1.27) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "reform2-trackball2-rescue:TLV75533PDBV-Regulator_Linear-reform-trackball-rescue" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at -3.81 5.715 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TLV75533PDBV-Regulator_Linear-reform-trackball-rescue" (id 1) (at 0 5.715 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" (id 2) (at 0 7.62 0) + (effects (font (size 1.27 1.27) italic) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?23*" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "TLV75533PDBV-Regulator_Linear-reform-trackball-rescue_0_1" + (rectangle (start -5.08 4.445) (end 5.08 -5.08) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "TLV75533PDBV-Regulator_Linear-reform-trackball-rescue_1_1" + (pin power_in line (at -7.62 2.54 0) (length 2.54) + (name "IN" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -7.62 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -7.62 0 0) (length 2.54) + (name "EN" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 7.62 0 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 7.62 2.54 180) (length 2.54) + (name "OUT" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + ) + + (junction (at 177.8 73.025) (diameter 0) (color 0 0 0 0) + (uuid 002c5ac2-82b0-4a04-b4da-66b5f5f91462) + ) + (junction (at 146.685 222.25) (diameter 0) (color 0 0 0 0) + (uuid 00e4aa80-fd10-44c2-bc5c-76481d123fab) + ) + (junction (at 170.18 73.025) (diameter 0) (color 0 0 0 0) + (uuid 07370b27-158a-4455-a1fb-45de038393a4) + ) + (junction (at 146.685 214.63) (diameter 0) (color 0 0 0 0) + (uuid 0b08831c-bf1b-4d09-a318-26a9e816c5fc) + ) + (junction (at 121.92 135.255) (diameter 0) (color 0 0 0 0) + (uuid 0c428f7c-1d8d-4b7d-8e16-17fe3f29efe6) + ) + (junction (at 161.925 214.63) (diameter 0) (color 0 0 0 0) + (uuid 0dec03b6-1219-48cd-b9d4-f1f31b3678e0) + ) + (junction (at 177.165 222.25) (diameter 0) (color 0 0 0 0) + (uuid 0e887b1b-9414-4665-9d0b-98a405f0e3d3) + ) + (junction (at 40.64 140.97) (diameter 0) (color 0 0 0 0) + (uuid 0fafc6b9-fd35-4a55-9270-7a8e7ce3cb13) + ) + (junction (at 40.64 160.02) (diameter 0) (color 0 0 0 0) + (uuid 1241b7f2-e266-4f5c-8a97-9f0f9d0eef37) + ) + (junction (at 128.27 46.355) (diameter 0) (color 0 0 0 0) + (uuid 12ab2b93-e186-4c48-b2b3-ea49775714b0) + ) + (junction (at 186.69 46.355) (diameter 0) (color 0 0 0 0) + (uuid 14b3cefd-2687-4274-ad49-3a2f37d06470) + ) + (junction (at 137.16 78.105) (diameter 0) (color 0 0 0 0) + (uuid 154c9e18-ee26-4011-852a-69810edc0866) + ) + (junction (at 175.26 46.355) (diameter 0) (color 0 0 0 0) + (uuid 1da72dc3-7943-4b81-8cdb-5352e9e29000) + ) + (junction (at 135.255 260.35) (diameter 0) (color 0 0 0 0) + (uuid 1ee7a5d6-cfee-46ef-a130-887fe60ae4e1) + ) + (junction (at 194.945 55.245) (diameter 0) (color 0 0 0 0) + (uuid 208f447b-b938-464d-bc46-a3645cf2e6a2) + ) + (junction (at 177.165 214.63) (diameter 0) (color 0 0 0 0) + (uuid 26bff2d7-f898-4c85-bbc1-cacfa872f3a0) + ) + (junction (at 165.1 46.355) (diameter 0) (color 0 0 0 0) + (uuid 2af28fc1-2f30-4db1-b090-de42e01ffee7) + ) + (junction (at 269.24 164.465) (diameter 0) (color 0 0 0 0) + (uuid 2dc54bac-8640-4dd7-b8ed-3c7acb01a8ea) + ) + (junction (at 175.26 73.025) (diameter 0) (color 0 0 0 0) + (uuid 3427c5b8-85a9-4bc0-9950-3d8f93e645b4) + ) + (junction (at 287.02 101.6) (diameter 0) (color 0 0 0 0) + (uuid 3496d633-73be-4f08-8bdb-6c620f46505f) + ) + (junction (at 40.64 153.67) (diameter 0) (color 0 0 0 0) + (uuid 35ef9c4a-35f6-467b-a704-b1d9354880cf) + ) + (junction (at 252.095 48.26) (diameter 0) (color 0 0 0 0) + (uuid 380557f7-b042-40b9-9774-831b353b23e8) + ) + (junction (at 153.67 70.485) (diameter 0) (color 0 0 0 0) + (uuid 39af36bb-ff71-4708-9414-837255499dc5) + ) + (junction (at 140.97 55.245) (diameter 0) (color 0 0 0 0) + (uuid 3a99b61c-6afe-419b-84bb-a6b9a9c2e956) + ) + (junction (at 154.305 214.63) (diameter 0) (color 0 0 0 0) + (uuid 3d85afef-8e0a-430a-b106-c5c286422255) + ) + (junction (at 35.56 153.67) (diameter 0) (color 0 0 0 0) + (uuid 3e0392c0-affc-4114-9de5-1f1cfe79418a) + ) + (junction (at 190.5 46.355) (diameter 0) (color 0 0 0 0) + (uuid 462f0464-7bbe-4cb4-959c-764975df9f5b) + ) + (junction (at 140.97 46.355) (diameter 0) (color 0 0 0 0) + (uuid 471631f8-b8be-4025-97ee-79d9b310676f) + ) + (junction (at 172.72 73.025) (diameter 0) (color 0 0 0 0) + (uuid 486375f0-5875-42de-8693-1b6700f007d2) + ) + (junction (at 64.77 231.775) (diameter 0) (color 0 0 0 0) + (uuid 4e27930e-1827-4788-aa6b-487321d46602) + ) + (junction (at 153.67 55.245) (diameter 0) (color 0 0 0 0) + (uuid 51a6bc79-0cc4-4a5a-bb1b-00580bf6db07) + ) + (junction (at 135.255 232.41) (diameter 0) (color 0 0 0 0) + (uuid 5e5da9d4-5dfb-4e42-9129-8c61a820f01b) + ) + (junction (at 153.67 46.355) (diameter 0) (color 0 0 0 0) + (uuid 612abe42-455d-49d7-98f6-9c9c96640c15) + ) + (junction (at 128.27 55.245) (diameter 0) (color 0 0 0 0) + (uuid 633ca46e-7ce0-478c-bea5-08ee988e6cf5) + ) + (junction (at 154.305 222.25) (diameter 0) (color 0 0 0 0) + (uuid 6503dd14-20f4-4caf-8b51-6671a267fc83) + ) + (junction (at 40.64 147.32) (diameter 0) (color 0 0 0 0) + (uuid 6513181c-0a6a-4560-9a18-17450c36ae2a) + ) + (junction (at 35.56 147.32) (diameter 0) (color 0 0 0 0) + (uuid 66218487-e316-4467-9eba-79d4626ab24e) + ) + (junction (at 129.54 78.105) (diameter 0) (color 0 0 0 0) + (uuid 6fbf5a8c-1d4d-40c4-b8e3-d93886b1fd05) + ) + (junction (at 135.255 214.63) (diameter 0) (color 0 0 0 0) + (uuid 71628d87-3cbd-413f-98ce-0f8086b28958) + ) + (junction (at 259.08 101.6) (diameter 0) (color 0 0 0 0) + (uuid 71c6e723-673c-45a9-a0e4-9742220c52a3) + ) + (junction (at 263.525 52.07) (diameter 0) (color 0 0 0 0) + (uuid 74698909-04da-4180-b7d8-34f51d34ec01) + ) + (junction (at 169.545 214.63) (diameter 0) (color 0 0 0 0) + (uuid 75ca90c5-c043-4e10-a1af-0021a79a57a5) + ) + (junction (at 160.02 55.245) (diameter 0) (color 0 0 0 0) + (uuid 76e39e4f-a2e6-4530-b73d-8f102b84cd03) + ) + (junction (at 283.21 164.465) (diameter 0) (color 0 0 0 0) + (uuid 7afa54c4-2181-41d3-81f7-39efc497ecae) + ) + (junction (at 215.265 260.35) (diameter 0) (color 0 0 0 0) + (uuid 81b0cb02-6dac-4c7a-855a-a3e8aeebffff) + ) + (junction (at 129.54 70.485) (diameter 0) (color 0 0 0 0) + (uuid 8513a519-80ec-4cf3-a63a-9269285f1eb7) + ) + (junction (at 137.16 70.485) (diameter 0) (color 0 0 0 0) + (uuid 862cd59a-2451-440d-b853-4aefbbb15454) + ) + (junction (at 161.29 180.975) (diameter 0) (color 0 0 0 0) + (uuid 880634df-0c39-4cf7-9f7b-8d397b506956) + ) + (junction (at 134.62 46.355) (diameter 0) (color 0 0 0 0) + (uuid 8da1d49b-0a04-40cf-99e9-66e3cb40056d) + ) + (junction (at 188.595 232.41) (diameter 0) (color 0 0 0 0) + (uuid 9071e3d8-5d5f-469b-83e9-e65a6000d10c) + ) + (junction (at 255.27 164.465) (diameter 0) (color 0 0 0 0) + (uuid 91c1eb0a-67ae-4ef0-95ce-d060a03a7313) + ) + (junction (at 76.835 37.465) (diameter 0) (color 0 0 0 0) + (uuid 96db52e2-6336-4f5e-846e-528c594d0509) + ) + (junction (at 84.455 37.465) (diameter 0) (color 0 0 0 0) + (uuid 9aaeec6e-84fe-4644-b0bc-5de24626ff48) + ) + (junction (at 114.3 141.605) (diameter 0) (color 0 0 0 0) + (uuid a15d7e89-7bf4-448c-a091-a71303632569) + ) + (junction (at 161.925 222.25) (diameter 0) (color 0 0 0 0) + (uuid a831045a-b44e-4236-aad0-4ce104a02857) + ) + (junction (at 134.62 55.245) (diameter 0) (color 0 0 0 0) + (uuid a854d605-374a-4960-be21-512c01d703b5) + ) + (junction (at 114.3 153.035) (diameter 0) (color 0 0 0 0) + (uuid b7f7af16-341c-4870-b7fc-322005af5415) + ) + (junction (at 35.56 160.02) (diameter 0) (color 0 0 0 0) + (uuid b8b961e9-8a60-45fc-999a-a7a3baff4e0d) + ) + (junction (at 161.925 232.41) (diameter 0) (color 0 0 0 0) + (uuid b9e6bc8b-ed52-4142-b049-947863563690) + ) + (junction (at 105.41 135.255) (diameter 0) (color 0 0 0 0) + (uuid baf308b8-db60-446b-95a5-3022a15e0d67) + ) + (junction (at 156.21 70.485) (diameter 0) (color 0 0 0 0) + (uuid c42c0891-62ee-4a17-a65a-8c876b9b1ebe) + ) + (junction (at 147.32 55.245) (diameter 0) (color 0 0 0 0) + (uuid c49b4114-7819-4289-8baf-e88101d092ae) + ) + (junction (at 268.605 29.21) (diameter 0) (color 0 0 0 0) + (uuid c873ec5e-d8e7-40e2-9829-e5f26cc75e0b) + ) + (junction (at 254 101.6) (diameter 0) (color 0 0 0 0) + (uuid c8b6b273-3d20-4a46-8069-f6d608563604) + ) + (junction (at 169.545 222.25) (diameter 0) (color 0 0 0 0) + (uuid d08eadd0-9073-4d1f-8d95-96ddb6def289) + ) + (junction (at 215.265 232.41) (diameter 0) (color 0 0 0 0) + (uuid d3b8486d-f9c3-47e4-a406-2a9fec26f750) + ) + (junction (at 297.18 164.465) (diameter 0) (color 0 0 0 0) + (uuid d3c11c8f-a73d-4211-934b-a6da255728ad) + ) + (junction (at 160.02 46.355) (diameter 0) (color 0 0 0 0) + (uuid db055c7d-b99a-4187-ae98-4dbacd1cabe2) + ) + (junction (at 161.925 260.35) (diameter 0) (color 0 0 0 0) + (uuid db5afc27-0a81-4c7c-b34c-c94c202f90b5) + ) + (junction (at 180.34 73.025) (diameter 0) (color 0 0 0 0) + (uuid db5bc2d5-2352-4451-b68d-f6ea90441487) + ) + (junction (at 46.99 208.915) (diameter 0) (color 0 0 0 0) + (uuid ddb40f0f-682e-4693-902c-e2770b5b6bd2) + ) + (junction (at 194.945 46.355) (diameter 0) (color 0 0 0 0) + (uuid e4e4d992-e4b8-4918-a4bc-74275f90fc8d) + ) + (junction (at 259.08 114.3) (diameter 0) (color 0 0 0 0) + (uuid eab9c52c-3aa0-43a7-bc7f-7e234ff1e9f4) + ) + (junction (at 188.595 260.35) (diameter 0) (color 0 0 0 0) + (uuid f72cb169-352f-4358-a543-9bc8f0ef817a) + ) + (junction (at 147.32 46.355) (diameter 0) (color 0 0 0 0) + (uuid f88c7206-3881-46e9-b48d-e7d82a83cd26) + ) + (junction (at 170.18 46.355) (diameter 0) (color 0 0 0 0) + (uuid fd1953ec-65e6-420d-ab5c-9d7fa82aab97) + ) + + (no_connect (at 205.74 109.855) (uuid 03bd5529-eac1-4209-8363-b5ba0ce0f6e4)) + (no_connect (at 205.74 168.275) (uuid 0ac4f9dc-d159-4756-84c3-af8989067a4e)) + (no_connect (at 205.74 150.495) (uuid 194f2564-8bc1-470a-bd37-5e8c5d6d297c)) + (no_connect (at 205.74 122.555) (uuid 1ce93070-391e-4418-8f6d-92cda09f6551)) + (no_connect (at 205.74 107.315) (uuid 32df7c42-7421-4ec8-b739-08c4e963992e)) + (no_connect (at 205.74 120.015) (uuid 37b4c80a-354d-4aff-937e-d370b986d77e)) + (no_connect (at 205.74 130.175) (uuid 40f82dc8-7725-478a-a544-ec74e57e31fc)) + (no_connect (at 205.74 102.235) (uuid 4338fd9e-a712-4b14-a1fc-3e3337c90b8b)) + (no_connect (at 205.74 125.095) (uuid 464d18a8-f04e-4182-97f3-69c4dce4d91d)) + (no_connect (at 205.74 165.735) (uuid 4c90077c-d93a-40ef-9a8a-61a09aefde98)) + (no_connect (at 205.74 163.195) (uuid 50322190-fc1d-4922-9ac1-508dfaa58382)) + (no_connect (at 205.74 132.715) (uuid 5ee9ae61-4d2b-454b-a6a7-7494c474c0a0)) + (no_connect (at 279.4 220.98) (uuid 66bc2bca-dab7-4947-a0ff-403cdaf9fb89)) + (no_connect (at 205.74 114.935) (uuid 71dc62ca-c87e-4903-a435-49c2bde41f98)) + (no_connect (at 205.74 99.695) (uuid 7c5e5c6c-fed1-43c9-ad51-5248258bc8fb)) + (no_connect (at 250.825 246.38) (uuid 8a76342a-b9ee-4dfb-b347-bf86484633c4)) + (no_connect (at 205.74 112.395) (uuid 90dc3bea-41fb-4495-ba69-2f313150916e)) + (no_connect (at 205.74 117.475) (uuid 9a940915-2675-4cc5-97f8-f31da05a229e)) + (no_connect (at 205.74 104.775) (uuid abd561bd-564f-4a4d-9f14-919c8df1e06c)) + (no_connect (at 205.74 170.815) (uuid bdd54135-50d0-4199-bb09-c281ca559b94)) + (no_connect (at 205.74 153.035) (uuid ed8fd232-2092-4329-9c10-ccd753c31329)) + (no_connect (at 205.74 127.635) (uuid f34bd136-ff80-450b-b6f2-3b4bda122bfc)) + (no_connect (at 205.74 158.115) (uuid f607a56b-a55c-407a-8efb-085a62cab9b6)) + + (wire (pts (xy 255.27 164.465) (xy 269.24 164.465)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 009a4fb4-fcc0-4623-ae5d-c1bae3219583) + ) + (wire (pts (xy 252.095 43.18) (xy 252.095 48.26)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 00a4bb22-455b-47e1-ab28-0c831bededff) + ) + (wire (pts (xy 135.255 214.63) (xy 108.585 214.63)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 01165b14-91ac-49b5-8c4f-fa6f001712c6) + ) + (wire (pts (xy 153.67 52.705) (xy 153.67 55.245)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 01f64d8b-59c7-4a7f-94f6-b02257389ed7) + ) + (wire (pts (xy 38.735 43.815) (xy 43.815 43.815)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 01f82238-6335-48fe-8b0a-6853e227345a) + ) + (wire (pts (xy 147.32 52.705) (xy 147.32 55.245)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 02285946-12af-421f-ac5a-9fe6f285ca0f) + ) + (wire (pts (xy 147.32 47.625) (xy 147.32 46.355)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 03b14941-5416-4687-ab02-8dd5ce515f7f) + ) + (wire (pts (xy 97.155 227.33) (xy 100.965 227.33)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 052d080f-3bc3-4197-8554-e2ff31a7fb8d) + ) + (wire (pts (xy 205.74 135.255) (xy 209.55 135.255)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 05335931-b87a-48e5-aa90-3a53fe43e2ae) + ) + (wire (pts (xy 175.26 47.625) (xy 175.26 46.355)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0634d09b-b4f3-4924-aa97-64df90948bc8) + ) + (wire (pts (xy 291.465 59.69) (xy 295.275 59.69)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0989d6ec-1730-4365-94e2-79cfbfafd56a) + ) + (wire (pts (xy 252.095 48.26) (xy 263.525 48.26)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0bafed53-571a-4258-aa4e-7b96b6ea79e6) + ) + (wire (pts (xy 161.925 232.41) (xy 188.595 232.41)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0bd8e8d3-5c19-439f-825f-1ba46d539f50) + ) + (wire (pts (xy 35.56 160.02) (xy 35.56 153.67)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0ceb97d6-1b0f-4b71-921e-b0955c30c998) + ) + (wire (pts (xy 138.43 161.925) (xy 142.24 161.925)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0d13e515-47a5-40d9-8200-13534d910cf9) + ) + (wire (pts (xy 194.945 46.355) (xy 194.945 47.625)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0d5a2b6f-0ce3-4b14-9f5c-7f51594d5797) + ) + (wire (pts (xy 76.835 51.435) (xy 76.835 48.895)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0dfdfa9f-1e3f-4e14-b64b-12bde76a80c7) + ) + (wire (pts (xy 161.925 214.63) (xy 169.545 214.63)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0e0eca8f-5261-447d-87d7-0d69f605b596) + ) + (wire (pts (xy 58.42 85.09) (xy 58.42 82.55)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0fc5db66-6188-4c1f-bb14-0868bef113eb) + ) + (wire (pts (xy 60.325 37.465) (xy 66.675 37.465)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 10e52e95-44f3-4059-a86d-dcda603e0623) + ) + (wire (pts (xy 35.56 147.32) (xy 35.56 153.67)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 12a24e86-2c38-4685-bba9-fff8dddb4cb0) + ) + (wire (pts (xy 128.27 47.625) (xy 128.27 46.355)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 13879189-dc68-4492-98fb-7edb88acecad) + ) + (wire (pts (xy 228.6 86.995) (xy 223.52 86.995)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 13bbfffc-affb-4b43-9eb1-f2ed90a8a919) + ) + (wire (pts (xy 160.02 47.625) (xy 160.02 46.355)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 156091fa-c81d-4d93-9b7c-5dcbd9144eab) + ) + (wire (pts (xy 140.97 46.355) (xy 147.32 46.355)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 15668cab-3c77-4005-8d66-5bb6663769f0) + ) + (wire (pts (xy 249.555 48.26) (xy 252.095 48.26)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 163b4f02-ce0e-491d-9e96-bb78a94d495b) + ) + (wire (pts (xy 41.91 208.915) (xy 46.99 208.915)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 165a8d59-db7c-455b-a822-c194b8892552) + ) + (wire (pts (xy 173.99 180.975) (xy 173.99 178.435)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 177dc647-40fa-46ce-be53-5ce718fcac0a) + ) + (wire (pts (xy 146.685 214.63) (xy 146.685 215.9)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 185e4d76-9de6-4c23-a729-b77b841ed400) + ) + (wire (pts (xy 138.43 102.235) (xy 142.24 102.235)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 18b711e8-c01c-402c-a606-5f752fe17a27) + ) + (wire (pts (xy 160.02 46.355) (xy 165.1 46.355)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1afd58bd-d25a-4a4b-93d3-a0edc2a4d772) + ) + (wire (pts (xy 121.92 55.245) (xy 121.92 52.705)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1c943e25-b160-4c29-8b9c-240028c8d97f) + ) + (wire (pts (xy 177.165 214.63) (xy 184.785 214.63)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1cafbe71-2814-4682-953a-9138054a5326) + ) + (wire (pts (xy 140.97 52.705) (xy 140.97 55.245)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1d233050-fcc5-455f-9fcd-f391cf2340f4) + ) + (wire (pts (xy 129.54 78.105) (xy 137.16 78.105)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1da93f1e-29ae-42c4-bcbe-d09dba2bb8cc) + ) + (wire (pts (xy 154.305 214.63) (xy 154.305 215.9)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 204c082f-1945-4a06-b2bd-514a97eda720) + ) + (wire (pts (xy 58.42 107.95) (xy 58.42 105.41)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 20caf6d2-76a7-497e-ac56-f6d31eb9027b) + ) + (wire (pts (xy 184.785 222.25) (xy 177.165 222.25)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 21efbc24-e865-48f2-80f0-a7577012e9ea) + ) + (wire (pts (xy 188.595 260.35) (xy 215.265 260.35)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 220f41e9-575b-4029-91bf-038ad11fbc68) + ) + (wire (pts (xy 129.54 76.835) (xy 129.54 78.105)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2247e433-ae62-4a65-9798-1149964aebdd) + ) + (wire (pts (xy 108.585 260.35) (xy 135.255 260.35)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 22c4a740-4dee-44c4-a6d2-2f46bfeb12ae) + ) + (wire (pts (xy 142.24 99.695) (xy 138.43 99.695)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 23be3c5f-28a8-4da3-a974-7ac0fd72bb35) + ) + (wire (pts (xy 121.92 153.035) (xy 121.92 149.225)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 247b381a-00a9-4d71-8e5d-d6afae195faf) + ) + (wire (pts (xy 38.735 41.275) (xy 40.005 41.275)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 25bc3602-3fb4-4a04-94e3-21ba22562c24) + ) + (wire (pts (xy 244.475 48.26) (xy 241.935 48.26)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 25c96f0c-e012-44e6-904a-0376bc6aa07b) + ) + (wire (pts (xy 268.605 29.21) (xy 268.605 31.75)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 25df3d40-4f7b-45c8-8088-575744ebabcd) + ) + (wire (pts (xy 140.97 47.625) (xy 140.97 46.355)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 26528588-07a6-446a-be03-8c8e3ff3b8d0) + ) + (wire (pts (xy 42.545 48.895) (xy 42.545 37.465)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 269f19c3-6824-45a8-be29-fa58d70cbb42) + ) + (wire (pts (xy 134.62 55.245) (xy 128.27 55.245)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 27c686f7-f6ea-404a-9774-c7364acde222) + ) + (wire (pts (xy 153.67 47.625) (xy 153.67 46.355)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2977d544-ae4e-4cb7-ad8c-2b7f059157a9) + ) + (wire (pts (xy 188.595 254) (xy 188.595 260.35)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 29ebeb54-7e0d-44c4-88f9-78f45fe24c3f) + ) + (wire (pts (xy 169.545 214.63) (xy 169.545 215.9)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2a0029b2-08e6-459b-bdca-3919eee9bf00) + ) + (wire (pts (xy 40.64 153.67) (xy 64.77 153.67)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2b5a9ad3-7ec4-447d-916c-47adf5f9674f) + ) + (wire (pts (xy 46.99 206.375) (xy 46.99 208.915)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2c40785a-e739-4290-9e47-5e42d319a26a) + ) + (wire (pts (xy 46.99 200.025) (xy 46.99 201.295)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 31ce6e60-ab9d-4e20-bd6c-652752984cc2) + ) + (wire (pts (xy 68.58 210.185) (xy 68.58 208.915)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 33662b5b-a617-4ac6-8f04-5a0a653993d3) + ) + (wire (pts (xy 169.545 220.98) (xy 169.545 222.25)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3508f12d-f0cf-4edc-80fd-f36426180265) + ) + (wire (pts (xy 268.605 39.37) (xy 268.605 36.83)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 351263f5-0b71-4c2a-a5fc-9e63a591b629) + ) + (wire (pts (xy 161.29 70.485) (xy 156.21 70.485)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 35a0ea46-3a0b-47d0-af9f-c6686f28a4f3) + ) + (wire (pts (xy 255.27 159.385) (xy 255.27 164.465)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 37f31dec-63fc-4634-a141-5dc5d2b60fe4) + ) + (wire (pts (xy 38.735 48.895) (xy 42.545 48.895)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 38cfe839-c630-43d3-a9ec-6a89ba9e318a) + ) + (wire (pts (xy 72.39 97.79) (xy 68.58 97.79)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3a70978e-dcc2-4620-a99c-514362812927) + ) + (wire (pts (xy 190.5 46.355) (xy 190.5 76.835)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3b0edad4-8600-48e4-abda-4a8074a57a60) + ) + (wire (pts (xy 254 95.25) (xy 254 101.6)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3c5e5ea9-793d-46e3-86bc-5884c4490dc7) + ) + (wire (pts (xy 154.305 220.98) (xy 154.305 222.25)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3cc37249-7aa3-45a0-82ae-6c20f22ca685) + ) + (wire (pts (xy 165.1 76.835) (xy 165.1 46.355)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3d412b69-c529-4e16-8a2a-e7a8b0ecba07) + ) + (wire (pts (xy 146.685 222.25) (xy 154.305 222.25)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3dac1f83-f838-4bdb-9a39-01eb0139143d) + ) + (wire (pts (xy 165.1 46.355) (xy 170.18 46.355)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3ddead6f-ef8b-41ab-bf26-93a0ed440064) + ) + (wire (pts (xy 259.08 114.3) (xy 259.08 111.76)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3e915099-a18e-49f4-89bb-abe64c2dade5) + ) + (wire (pts (xy 128.27 46.355) (xy 134.62 46.355)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3e95bfe4-69cb-4742-8525-be00e3f4643c) + ) + (wire (pts (xy 252.095 35.56) (xy 252.095 38.1)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 43e3722e-b586-4a17-9f21-e673af79d3f1) + ) + (wire (pts (xy 137.16 79.375) (xy 137.16 78.105)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 44e59e3f-abea-414e-8a12-a253fac94483) + ) + (wire (pts (xy 46.99 208.915) (xy 53.34 208.915)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4548c354-c53c-4839-99fd-fdbdc95a2e94) + ) + (wire (pts (xy 114.3 130.175) (xy 109.22 130.175)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 45dd312a-4854-4626-ae8a-71a3ad2284b7) + ) + (wire (pts (xy 161.29 76.835) (xy 161.29 70.485)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 48534717-259a-4c4e-8ab5-0f2f7aba4529) + ) + (wire (pts (xy 121.92 135.255) (xy 130.81 135.255)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 49a3013c-81a7-4a1a-b032-479324c8215b) + ) + (wire (pts (xy 121.92 130.175) (xy 121.92 127.635)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4d5a8408-8e4c-489e-b002-657730ecf6e2) + ) + (wire (pts (xy 154.305 214.63) (xy 161.925 214.63)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4fa14c2f-c5ff-4da2-907b-0697996192d2) + ) + (wire (pts (xy 205.74 97.155) (xy 215.9 97.155)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4fe32619-ac79-4b19-a736-2e11e59a9f5f) + ) + (wire (pts (xy 142.875 246.38) (xy 154.305 246.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 50c567ce-4479-45e3-80c6-4c5bc4de6bac) + ) + (wire (pts (xy 182.88 73.025) (xy 182.88 76.835)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 50e9f54b-09bf-44d4-8670-40c05a0f407d) + ) + (wire (pts (xy 147.32 46.355) (xy 153.67 46.355)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5134caa5-3973-44cb-8d67-77c96169f843) + ) + (wire (pts (xy 172.72 76.835) (xy 172.72 73.025)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 51bc54f5-b1e3-4f72-a641-03ae7c5fa2f5) + ) + (wire (pts (xy 105.41 153.035) (xy 114.3 153.035)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 520d30d0-a1e3-442a-8bbc-42705ed67810) + ) + (wire (pts (xy 280.67 101.6) (xy 287.02 101.6)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 54212c01-b363-47b8-a145-45c40df316f4) + ) + (wire (pts (xy 205.74 84.455) (xy 218.44 84.455)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 54c00dbf-96ab-4f10-a274-7fc805b22481) + ) + (wire (pts (xy 264.16 184.785) (xy 264.16 182.245)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5508c4d1-c2e6-41ae-bfe7-3b734be340e4) + ) + (wire (pts (xy 266.065 52.07) (xy 263.525 52.07)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 55d6d92f-185e-40ac-8e5d-ed675b96d759) + ) + (wire (pts (xy 156.21 76.835) (xy 156.21 70.485)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 57629023-f610-4c1b-9e0e-c0e762d3385b) + ) + (wire (pts (xy 188.595 232.41) (xy 215.265 232.41)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 58574ca5-744e-4235-aa67-c2a3ec05e24d) + ) + (wire (pts (xy 287.02 95.25) (xy 287.02 101.6)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 589d90a0-dd6b-411d-865d-a839d5f6d4b4) + ) + (wire (pts (xy 53.34 231.775) (xy 64.77 231.775)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 593b8647-0095-46cc-ba23-3cf2a86edb5e) + ) + (wire (pts (xy 76.835 37.465) (xy 71.755 37.465)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 59fc765e-1357-4c94-9529-5635418c7d73) + ) + (wire (pts (xy 105.41 135.255) (xy 105.41 144.145)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5c0b23f2-203a-4182-94e3-5277128c45dc) + ) + (wire (pts (xy 116.205 227.33) (xy 121.285 227.33)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5c15f01f-e0db-47e0-ae92-0d8b5ad94beb) + ) + (wire (pts (xy 186.69 46.355) (xy 186.69 76.835)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5cd10775-2170-4a89-bd6e-090a228a4005) + ) + (wire (pts (xy 175.26 73.025) (xy 177.8 73.025)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5d5ff2fc-9496-4931-adf3-f1e89b217a03) + ) + (wire (pts (xy 254 104.14) (xy 254 101.6)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5d9921f1-08b3-4cc9-8cf7-e9a72ca2fdb7) + ) + (wire (pts (xy 205.74 86.995) (xy 218.44 86.995)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 60745550-6c6e-47a2-a595-af5a2eae986e) + ) + (wire (pts (xy 283.21 164.465) (xy 297.18 164.465)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 609b9e1b-4e3b-42b7-ac76-a62ec4d0e7c7) + ) + (wire (pts (xy 64.77 231.775) (xy 64.77 230.505)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 60aa0ce8-9d0e-48ca-bbf9-866403979e9b) + ) + (wire (pts (xy 153.67 70.485) (xy 153.67 76.835)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 612a701a-4a01-448e-9d46-1e34f8821645) + ) + (wire (pts (xy 40.64 160.02) (xy 35.56 160.02)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6241e6d3-a754-45b6-9f7c-e43019b93226) + ) + (wire (pts (xy 287.02 111.76) (xy 287.02 115.57)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6277bfb8-47bf-44a5-9d64-a1ae24f1a71b) + ) + (wire (pts (xy 188.595 232.41) (xy 188.595 238.76)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 65450ee3-33cf-4e40-8b5a-6f7a0418e5da) + ) + (wire (pts (xy 153.67 55.245) (xy 147.32 55.245)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 66aa1dc2-6c20-4846-8013-16872c6e8878) + ) + (wire (pts (xy 138.43 107.315) (xy 142.24 107.315)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 66c3869d-de11-4d8d-b4de-9d98c32ec205) + ) + (wire (pts (xy 134.62 52.705) (xy 134.62 55.245)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 67b034c3-7081-4486-bc4a-f5fbd8075f74) + ) + (wire (pts (xy 135.255 232.41) (xy 161.925 232.41)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 67c4b82f-886c-4217-9b19-3e4847071bca) + ) + (wire (pts (xy 184.785 214.63) (xy 184.785 215.9)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 68ff349b-a202-4ceb-ae83-387da2c30b5c) + ) + (wire (pts (xy 177.8 76.835) (xy 177.8 73.025)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6bf52bcb-f246-4ca6-85eb-b9bfc1aa3a16) + ) + (wire (pts (xy 160.02 55.245) (xy 160.02 52.705)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6e802396-92ef-4a8f-bb58-6947dd9f37e2) + ) + (wire (pts (xy 283.21 154.305) (xy 283.21 164.465)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 70fb572d-d5ec-41e7-9482-63d4578b4f47) + ) + (wire (pts (xy 190.5 46.355) (xy 194.945 46.355)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 71ed6c34-cbb6-4a2e-9d54-2a4c8c68506f) + ) + (wire (pts (xy 38.735 46.355) (xy 43.815 46.355)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 71f8d568-0f23-4ff2-8e60-1600ce517a48) + ) + (wire (pts (xy 46.99 234.315) (xy 54.61 234.315)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 72508b1f-1505-46cb-9d37-2081c5a12aca) + ) + (wire (pts (xy 114.3 141.605) (xy 114.3 153.035)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 727e9093-160c-4eac-a266-e2d6b7a10f9c) + ) + (wire (pts (xy 161.925 220.98) (xy 161.925 222.25)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 73f9979d-2d10-4ab7-9234-1922eb34e374) + ) + (wire (pts (xy 269.24 223.52) (xy 279.4 223.52)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7599133e-c681-4202-85d9-c20dac196c64) + ) + (wire (pts (xy 135.255 254) (xy 135.255 260.35)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 76e4227b-1e8b-41b5-b8de-c6e5397ab5af) + ) + (wire (pts (xy 135.255 260.35) (xy 135.255 265.43)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 77820a20-9360-4dcb-abfa-3beaf6d84f8b) + ) + (wire (pts (xy 175.26 46.355) (xy 186.69 46.355)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 77ab756e-18a5-4b42-877a-033d6b625929) + ) + (wire (pts (xy 263.525 52.07) (xy 258.445 52.07)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 78466da4-e04a-4ae9-a7af-c3269f1c81d4) + ) + (wire (pts (xy 76.835 41.275) (xy 76.835 37.465)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7ab78ed2-fe9d-4353-ac82-e0209d03163f) + ) + (wire (pts (xy 228.6 84.455) (xy 223.52 84.455)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7c00778a-4692-4f9b-87d5-2d355077ce1e) + ) + (wire (pts (xy 35.56 160.02) (xy 35.56 162.56)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7d0dab95-9e7a-486e-a1d7-fc48860fd57d) + ) + (wire (pts (xy 129.54 71.755) (xy 129.54 70.485)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7d85a7d0-4529-4998-9443-cf7cf49f5671) + ) + (wire (pts (xy 278.765 29.21) (xy 278.765 44.45)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 80547178-599f-45e6-ac8e-eec5c63d9384) + ) + (wire (pts (xy 146.685 214.63) (xy 154.305 214.63)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 80af5ac5-f413-4ad3-88ef-9b340ce9c141) + ) + (wire (pts (xy 161.925 214.63) (xy 161.925 215.9)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 818693d7-150e-4d30-a731-19d1d84f91ec) + ) + (wire (pts (xy 215.265 232.41) (xy 215.265 238.76)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 81e056f1-a083-48a7-acd1-bf24de602bb9) + ) + (wire (pts (xy 135.255 260.35) (xy 161.925 260.35)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 857de4a4-1691-4701-93f0-e1123188e9f3) + ) + (wire (pts (xy 205.74 137.795) (xy 297.18 137.795)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 85b7594c-358f-454b-b2ad-dd0b1d67ed76) + ) + (wire (pts (xy 170.18 46.355) (xy 170.18 73.025)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 86464b8d-555a-4138-a0cf-eed8918773e7) + ) + (wire (pts (xy 128.27 55.245) (xy 121.92 55.245)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 86ed9e70-56ea-4ee9-8fc4-aa791e24d1fe) + ) + (wire (pts (xy 156.21 70.485) (xy 153.67 70.485)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 87de70a0-6f26-45b8-bc2a-ff1a60c67b5b) + ) + (wire (pts (xy 241.3 164.465) (xy 255.27 164.465)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 88668202-3f0b-4d07-84d4-dcd790f57272) + ) + (wire (pts (xy 147.32 55.245) (xy 140.97 55.245)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 89797a13-8242-420d-bbf7-5d30c66f6ff1) + ) + (wire (pts (xy 44.45 253.365) (xy 59.69 253.365)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8bd64268-ea91-44bc-906e-9677b960f10d) + ) + (wire (pts (xy 109.22 130.175) (xy 109.22 141.605)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8ca3f11c-c475-48ab-9070-43ffc0aa1db0) + ) + (wire (pts (xy 64.77 234.315) (xy 64.77 231.775)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8cd050d6-228c-4da0-9533-b4f8d14cfb34) + ) + (wire (pts (xy 154.305 222.25) (xy 161.925 222.25)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8d64141c-7f7b-4fc2-a00b-3425ccc3ff43) + ) + (wire (pts (xy 180.34 73.025) (xy 182.88 73.025)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8dd09518-9716-4922-80e4-672dd9ee9c85) + ) + (wire (pts (xy 135.255 214.63) (xy 135.255 232.41)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8e229dc5-0f6c-4dd5-997d-650db9c8316d) + ) + (wire (pts (xy 137.16 70.485) (xy 129.54 70.485)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8e5df113-da38-4b7b-9cd7-d1c59eeee82e) + ) + (wire (pts (xy 135.255 232.41) (xy 135.255 238.76)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 90f22234-aaa9-4cd8-84da-36223de6a2fc) + ) + (wire (pts (xy 121.92 135.255) (xy 121.92 144.145)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 92cef0b1-4a56-4174-880d-8598881a9efc) + ) + (wire (pts (xy 161.925 260.35) (xy 188.595 260.35)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 930347c7-aaef-440d-a02e-bbbe53d2fd5a) + ) + (wire (pts (xy 142.24 159.385) (xy 138.43 159.385)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 937cd968-5824-4d39-893c-e7eee6429765) + ) + (wire (pts (xy 203.835 46.355) (xy 203.835 47.625)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 93cfea01-2c35-4f39-b306-373c5f29e705) + ) + (wire (pts (xy 40.005 41.275) (xy 40.005 51.435)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 97581b9a-3f6b-4e88-8768-6fdb60e6aca6) + ) + (wire (pts (xy 170.18 73.025) (xy 172.72 73.025)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9a33e707-3d02-4b6c-b22b-af28f510f742) + ) + (wire (pts (xy 68.58 208.915) (xy 63.5 208.915)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9b15c7a1-488b-4b6e-9c8a-b49f8e7d33b6) + ) + (wire (pts (xy 254 101.6) (xy 259.08 101.6)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9dcdc92b-2219-4a4a-8954-45f02cc3ab25) + ) + (wire (pts (xy 114.3 153.035) (xy 121.92 153.035)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9f3dc31f-d866-4ca7-bb03-9699aa76b408) + ) + (wire (pts (xy 215.265 254) (xy 215.265 260.35)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a02d9666-9ad6-49c6-8c62-d1119621374e) + ) + (wire (pts (xy 161.29 180.975) (xy 173.99 180.975)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a1395846-866a-40fd-9cdc-91dae23395e7) + ) + (wire (pts (xy 297.18 167.005) (xy 297.18 164.465)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a15a7506-eae4-4933-84da-9ad754258706) + ) + (wire (pts (xy 177.165 222.25) (xy 177.165 220.98)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a2087004-1d2c-4675-b02a-ba89dd6a63ce) + ) + (wire (pts (xy 205.74 94.615) (xy 215.9 94.615)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a21def4c-46c7-4cd5-98a2-daeab8978146) + ) + (wire (pts (xy 135.255 214.63) (xy 146.685 214.63)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a2917c42-300f-451a-a4bd-e5d75bcbd901) + ) + (wire (pts (xy 264.16 182.245) (xy 256.54 182.245)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a6e4fa41-ce49-4b54-88dd-4b6ca174080a) + ) + (wire (pts (xy 35.56 153.67) (xy 40.64 153.67)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a7f25f41-0b4c-4430-b6cd-b2160b2db099) + ) + (wire (pts (xy 184.785 220.98) (xy 184.785 222.25)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a99b2a33-c4b3-4926-a0c5-f76744df1933) + ) + (wire (pts (xy 196.215 246.38) (xy 207.645 246.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ac05814b-dc09-4f9a-bc3a-2cb524195c3f) + ) + (wire (pts (xy 135.89 135.255) (xy 142.24 135.255)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid adef8ddf-74d1-48c0-8cd0-e9f02dfaeb88) + ) + (wire (pts (xy 215.265 232.41) (xy 243.205 232.41)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ae055387-5a2a-499a-a38a-97ab55b09916) + ) + (wire (pts (xy 177.8 73.025) (xy 180.34 73.025)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ae26ff0d-43fc-4524-84e1-ffa81d668240) + ) + (wire (pts (xy 108.585 214.63) (xy 108.585 219.71)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid af3a1555-a5e2-434d-a98e-3b6592667ce5) + ) + (wire (pts (xy 175.26 52.705) (xy 175.26 56.515)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b0a44804-f63d-4564-9c81-cfe0151c5d84) + ) + (wire (pts (xy 205.74 142.875) (xy 269.24 142.875)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b1ddb058-f7b2-429c-9489-f4e2242ad7e5) + ) + (wire (pts (xy 118.11 135.255) (xy 121.92 135.255)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b32acaea-cd47-4a73-a0c1-373d519f4021) + ) + (wire (pts (xy 215.265 260.35) (xy 243.205 260.35)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b35f7bae-690f-4d98-834f-22f962a25618) + ) + (wire (pts (xy 259.08 101.6) (xy 265.43 101.6)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b4833916-7a3e-4498-86fb-ec6d13262ffe) + ) + (wire (pts (xy 59.69 234.315) (xy 64.77 234.315)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid bde95c06-433a-4c03-bc48-e3abcdb4e054) + ) + (wire (pts (xy 287.02 101.6) (xy 287.02 106.68)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid bdf3620c-bf53-40ec-a943-b7a407f0bfaa) + ) + (wire (pts (xy 121.285 246.38) (xy 127.635 246.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid bed478cf-db0a-486a-989a-11dd0ac56f6b) + ) + (wire (pts (xy 172.72 73.025) (xy 175.26 73.025)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c00bb95c-6be8-4d26-8857-0e54790d32a5) + ) + (wire (pts (xy 105.41 153.035) (xy 105.41 149.225)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c076b181-3d1d-43bf-b4a3-b17673cc64bd) + ) + (wire (pts (xy 297.18 151.765) (xy 297.18 164.465)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c106154f-d948-43e5-abfa-e1b96055d91b) + ) + (wire (pts (xy 153.67 66.675) (xy 153.67 70.485)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c11f1ae8-9738-4be8-8006-d9f70c9e3658) + ) + (wire (pts (xy 241.3 164.465) (xy 241.3 161.925)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c24d6ac8-802d-4df3-a210-9cb1f693e865) + ) + (wire (pts (xy 134.62 46.355) (xy 140.97 46.355)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c353943c-63c8-45be-81ab-0b2d818de36c) + ) + (wire (pts (xy 110.49 135.255) (xy 105.41 135.255)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c370671a-9364-4f53-b428-fcdf19d1dd6c) + ) + (wire (pts (xy 138.43 111.125) (xy 142.24 111.125)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c3898df1-84c4-40f7-b385-a5861d9a3ad6) + ) + (wire (pts (xy 128.27 52.705) (xy 128.27 55.245)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c40967ee-e174-4d26-870f-c7c3ac5b18bb) + ) + (wire (pts (xy 295.275 49.53) (xy 291.465 49.53)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c45e9670-93dd-4864-b759-b536205bd1f7) + ) + (wire (pts (xy 114.3 153.035) (xy 114.3 154.305)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c4ec4819-c917-43dd-9b8f-b342b33f4da0) + ) + (wire (pts (xy 283.21 140.335) (xy 205.74 140.335)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c5eb1e4c-ce83-470e-8f32-e20ff1f886a3) + ) + (wire (pts (xy 153.67 46.355) (xy 160.02 46.355)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c6d172ae-a0bd-481b-a994-08e16e16af7a) + ) + (wire (pts (xy 170.18 46.355) (xy 175.26 46.355)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c6f48361-b75a-4b94-9373-72cd319bb1dc) + ) + (wire (pts (xy 41.91 92.71) (xy 48.26 92.71)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c71f56c1-5b7c-4373-9716-fffac482104c) + ) + (wire (pts (xy 64.77 160.02) (xy 40.64 160.02)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c8a44971-63c1-4a19-879d-b6647b2dc08d) + ) + (wire (pts (xy 140.97 55.245) (xy 134.62 55.245)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c8caa8e3-18ec-4c12-bca7-d0f5f3f5c020) + ) + (wire (pts (xy 180.34 76.835) (xy 180.34 73.025)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c8d8a243-f813-4273-8c1c-70c4ab2f4a6a) + ) + (wire (pts (xy 170.18 73.025) (xy 170.18 76.835)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c900f749-30fa-48eb-90d6-11b444667a79) + ) + (wire (pts (xy 203.835 55.245) (xy 194.945 55.245)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c9e01923-3a6e-4fe5-9c56-b0eff1b9d24b) + ) + (wire (pts (xy 121.92 78.105) (xy 129.54 78.105)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid cb875800-6d8c-4644-8531-67cc7ba15934) + ) + (wire (pts (xy 161.29 178.435) (xy 161.29 180.975)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid cdef4ad5-5cb2-499e-a973-52e4df3013c8) + ) + (wire (pts (xy 121.92 46.355) (xy 128.27 46.355)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ceacc745-6e0e-4f78-a64e-abd2870dbdca) + ) + (wire (pts (xy 269.24 156.845) (xy 269.24 164.465)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid cf386a39-fc62-49dd-8ec5-e044f6bd67ce) + ) + (wire (pts (xy 35.56 147.32) (xy 35.56 140.97)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid cf815d51-c956-4c5a-adde-c373cb025b07) + ) + (wire (pts (xy 121.92 127.635) (xy 105.41 127.635)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid cfadeddf-442b-4347-ae7a-f19df8b9afb9) + ) + (wire (pts (xy 108.585 234.95) (xy 108.585 260.35)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d04892ba-83c9-413d-ac4e-8bf7014939f7) + ) + (wire (pts (xy 279.4 184.785) (xy 279.4 179.705)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d0854350-3971-4dbb-9df3-6092ee532c99) + ) + (wire (pts (xy 243.205 232.41) (xy 243.205 238.76)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d0bd1502-8f5c-4b5a-a14b-d99e4b93b9dd) + ) + (wire (pts (xy 142.24 104.775) (xy 138.43 104.775)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d122df36-0fb3-4827-ba05-2e3b777be2af) + ) + (wire (pts (xy 121.92 76.835) (xy 121.92 78.105)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d1cb0540-773f-4837-8e21-d8a197f5a8c4) + ) + (wire (pts (xy 137.16 78.105) (xy 137.16 76.835)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d31ef0ad-dd9e-4f23-948f-3fc326fbe0a7) + ) + (wire (pts (xy 273.05 114.3) (xy 273.05 111.76)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d3d57924-54a6-421d-a3a0-a044fc909e88) + ) + (wire (pts (xy 269.24 210.82) (xy 279.4 210.82)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d3d7e298-1d39-4294-a3ab-c84cc0dc5e5a) + ) + (wire (pts (xy 84.455 37.465) (xy 89.535 37.465)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d3e133b7-2c84-4206-a2b1-e693cb57fe56) + ) + (wire (pts (xy 194.945 56.515) (xy 194.945 55.245)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d3f20ae7-a05e-4697-8a46-0447ae15b6c1) + ) + (wire (pts (xy 135.255 209.55) (xy 135.255 214.63)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d735defe-1310-4ad2-b688-0ddd9f23f73a) + ) + (wire (pts (xy 263.525 48.26) (xy 263.525 52.07)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d8101d63-3edc-468c-b38a-15887b025f80) + ) + (wire (pts (xy 194.945 55.245) (xy 194.945 52.705)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d87d649a-75d0-44a3-bddb-d6b87c23d9cc) + ) + (wire (pts (xy 278.765 64.77) (xy 278.765 68.58)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d8c0ee34-6b09-4515-bc14-81b145dd8896) + ) + (wire (pts (xy 169.545 246.38) (xy 180.975 246.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d8da23fa-86b8-4048-9875-938536300bfe) + ) + (wire (pts (xy 175.26 76.835) (xy 175.26 73.025)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d9bce3dd-bffb-462e-9623-dbd219e74282) + ) + (wire (pts (xy 42.545 37.465) (xy 52.705 37.465)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid da481376-0e49-44d3-91b8-aaa39b869dd1) + ) + (wire (pts (xy 40.64 147.32) (xy 64.77 147.32)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid da6f4122-0ecc-496f-b0fd-e4abef534976) + ) + (wire (pts (xy 265.43 104.14) (xy 254 104.14)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid dae72997-44fc-4275-b36f-cd70bf46cfba) + ) + (wire (pts (xy 186.69 46.355) (xy 190.5 46.355)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid dbb11eea-8c42-46f1-8603-aa9606faed2f) + ) + (wire (pts (xy 72.39 92.71) (xy 68.58 92.71)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid dbe92a0d-89cb-4d3f-9497-c2c1d93a3018) + ) + (wire (pts (xy 161.925 232.41) (xy 161.925 238.76)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid dc8a1264-1e6d-4f4a-9554-69b20f530eb7) + ) + (wire (pts (xy 142.24 147.955) (xy 140.97 147.955)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid dc9ee279-0886-4372-a429-bd19c8e8b6ec) + ) + (wire (pts (xy 35.56 140.97) (xy 40.64 140.97)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid dca1d7db-c913-4d73-a2cc-fdc9651eda69) + ) + (wire (pts (xy 137.16 70.485) (xy 153.67 70.485)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid dca4fcbf-8026-4c21-b0e2-640a3d3d6c9c) + ) + (wire (pts (xy 291.465 52.07) (xy 295.275 52.07)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid dd65079c-b093-46a8-a6aa-db0df836c2ea) + ) + (wire (pts (xy 109.22 141.605) (xy 114.3 141.605)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid dea777e4-8721-4817-ba03-5349b457ba7c) + ) + (wire (pts (xy 170.18 41.275) (xy 170.18 46.355)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid df13ab82-8ece-41c7-9c9d-be8ec03b21c5) + ) + (wire (pts (xy 161.925 254) (xy 161.925 260.35)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid df2025ce-48bc-44da-a9ef-cd25dc28407a) + ) + (wire (pts (xy 138.43 95.885) (xy 142.24 95.885)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid df6fa1ef-f8ce-4672-a3ca-80dc565ab8fc) + ) + (wire (pts (xy 160.02 56.515) (xy 160.02 55.245)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e03f64d1-8b7c-42fc-9e72-748793886be7) + ) + (wire (pts (xy 160.02 55.245) (xy 153.67 55.245)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e05f16a4-6d74-4bd9-b8bb-652db02ede09) + ) + (wire (pts (xy 259.08 106.68) (xy 259.08 101.6)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e091e263-c616-48ef-a460-465c70218987) + ) + (wire (pts (xy 121.285 227.33) (xy 121.285 246.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e2fc9f09-08d6-47d1-b788-454640cb2432) + ) + (wire (pts (xy 268.605 29.21) (xy 278.765 29.21)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e5b83c51-43fd-4624-9b32-3cc96b450761) + ) + (wire (pts (xy 105.41 127.635) (xy 105.41 135.255)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e640b99f-cdc5-49e7-b88f-115066586314) + ) + (wire (pts (xy 258.445 57.15) (xy 266.065 57.15)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ea5966b7-0699-4df4-ab5d-f3b9c9c8af9a) + ) + (wire (pts (xy 259.08 115.57) (xy 259.08 114.3)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ea6fde00-59dc-4a79-a647-7e38199fae0e) + ) + (wire (pts (xy 269.24 164.465) (xy 283.21 164.465)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid eae0ab9f-65b2-44d3-aba7-873c3227fba7) + ) + (wire (pts (xy 114.3 140.335) (xy 114.3 141.605)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid eb3c0526-ae61-4996-a3a5-bd6ce6314850) + ) + (wire (pts (xy 279.4 179.705) (xy 256.54 179.705)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ec64550c-8cff-4f20-800d-49d6f43eaf40) + ) + (wire (pts (xy 169.545 222.25) (xy 177.165 222.25)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ed49606e-0a79-4df9-9ab3-2219ddd7b4d7) + ) + (wire (pts (xy 161.29 180.975) (xy 161.29 183.515)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ee6db436-4804-4ef4-ab3b-3f51156a1cdb) + ) + (wire (pts (xy 48.26 231.775) (xy 46.99 231.775)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid eed466bf-cd88-4860-9abf-41a594ca08bd) + ) + (wire (pts (xy 255.27 145.415) (xy 205.74 145.415)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid eee16674-2d21-45b6-ab5e-d669125df26c) + ) + (wire (pts (xy 169.545 214.63) (xy 177.165 214.63)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f15ee583-977e-4cfd-9cf5-6b3a3925b159) + ) + (wire (pts (xy 64.77 140.97) (xy 40.64 140.97)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f1782535-55f4-4299-bd4f-6f51b0b7259c) + ) + (wire (pts (xy 194.945 46.355) (xy 203.835 46.355)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f3151c8a-7db8-4f23-b1ff-c8b15af21c09) + ) + (wire (pts (xy 40.64 147.32) (xy 35.56 147.32)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f357ddb5-3f44-43b0-b00d-d64f5c62ba4a) + ) + (wire (pts (xy 121.92 130.175) (xy 142.24 130.175)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f391d418-156c-42db-866b-6d8c0a8e786c) + ) + (wire (pts (xy 222.885 246.38) (xy 235.585 246.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f3b3dcf5-eb05-4d4b-8a82-bff60eecb21e) + ) + (wire (pts (xy 41.91 97.79) (xy 48.26 97.79)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f447e585-df78-4239-b8cb-4653b3837bb1) + ) + (wire (pts (xy 205.74 147.955) (xy 241.3 147.955)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f449bd37-cc90-4487-aee6-2a20b8d2843a) + ) + (wire (pts (xy 137.16 71.755) (xy 137.16 70.485)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f491b5ea-4a6d-4232-97bb-534f660df94d) + ) + (wire (pts (xy 134.62 47.625) (xy 134.62 46.355)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f522b30d-8c9e-4581-bf36-a1c3dd30a138) + ) + (wire (pts (xy 243.205 254) (xy 243.205 260.35)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f5674aa7-427d-4547-8315-c449e81ca2e9) + ) + (wire (pts (xy 268.605 25.4) (xy 268.605 29.21)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f5add7cd-7a96-4e41-9e56-4f5e265235f4) + ) + (wire (pts (xy 121.92 46.355) (xy 121.92 47.625)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f5e72d61-2a6c-4367-b6a8-c81152c373d9) + ) + (wire (pts (xy 146.685 222.25) (xy 146.685 223.52)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f6103467-4299-4f62-8e31-5eaabfa91045) + ) + (wire (pts (xy 259.08 114.3) (xy 273.05 114.3)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f73b5500-6337-4860-a114-6e307f65ec9f) + ) + (wire (pts (xy 146.685 220.98) (xy 146.685 222.25)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f89b0114-f89e-489e-a3a2-06a0e7973adf) + ) + (wire (pts (xy 84.455 37.465) (xy 76.835 37.465)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f988d6ea-11c5-4837-b1d1-5c292ded50c6) + ) + (wire (pts (xy 205.74 155.575) (xy 209.55 155.575)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid fa1c0291-e6fd-42fc-86a1-225a82861b60) + ) + (wire (pts (xy 177.165 214.63) (xy 177.165 215.9)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid fa36a2a7-b2e7-4266-b466-98d5eced58ca) + ) + (wire (pts (xy 121.92 70.485) (xy 121.92 71.755)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid fa4c446c-37cf-409d-8c72-2ee0ddf9fd96) + ) + (wire (pts (xy 129.54 70.485) (xy 121.92 70.485)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid fb628d30-a037-4cf0-a8f8-2767f6cdafce) + ) + (wire (pts (xy 295.275 57.15) (xy 291.465 57.15)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid fce4f7d1-139a-41d0-82f0-b47168a8517e) + ) + (wire (pts (xy 203.835 52.705) (xy 203.835 55.245)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ffb2e542-2ee7-4a0c-871a-fc5c73367a6c) + ) + (wire (pts (xy 161.925 222.25) (xy 169.545 222.25)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid fff2a221-fb3f-4eab-8ad8-6ca0091875f4) + ) + + (text "Sensor Connector" (at 266.7 207.01 0) + (effects (font (size 1.524 1.524)) (justify left bottom)) + (uuid 0e249018-17e7-42b3-ae5d-5ebf3ae299ae) + ) + (text "Attention! This\npinout is mirrored\nin respect to the \ntrackball sensor\nfor mechanical\nalignment!" + (at 266.7 241.3 0) + (effects (font (size 1.524 1.524)) (justify left bottom)) + (uuid aeb03be9-98f0-43f6-9432-1bb35aa04bab) + ) + + (label "UD+" (at 228.6 84.455 180) + (effects (font (size 1.524 1.524)) (justify right bottom)) + (uuid 142dd724-2a9f-4eea-ab21-209b1bc7ec65) + ) + (label "UD-" (at 228.6 86.995 180) + (effects (font (size 1.524 1.524)) (justify right bottom)) + (uuid 15a82541-58d8-45b5-99c5-fb52e017e3ea) + ) + (label "PD-" (at 41.91 92.71 0) + (effects (font (size 1.524 1.524)) (justify left bottom)) + (uuid 1ab71a3c-340b-469a-ada5-4f87f0b7b2fa) + ) + (label "UD+" (at 72.39 97.79 0) + (effects (font (size 1.524 1.524)) (justify left bottom)) + (uuid 2f291a4b-4ecb-4692-9ad2-324f9784c0d4) + ) + (label "PD+" (at 43.815 43.815 0) + (effects (font (size 1.524 1.524)) (justify left bottom)) + (uuid 319639ae-c2c5-486d-93b1-d03bb1b64252) + ) + (label "D+" (at 209.55 84.455 0) + (effects (font (size 1.524 1.524)) (justify left bottom)) + (uuid 3c8d03bf-f31d-4aa0-b8db-a227ffd7d8d6) + ) + (label "UD-" (at 41.91 97.79 0) + (effects (font (size 1.524 1.524)) (justify left bottom)) + (uuid 62a1f3d4-027d-4ecf-a37a-6fcf4263e9d2) + ) + (label "5V_IN" (at 42.545 48.895 0) + (effects (font (size 1.524 1.524)) (justify left bottom)) + (uuid 74f5ec08-7600-4a0b-a9e4-aae29f9ea08a) + ) + (label "PD+" (at 72.39 92.71 0) + (effects (font (size 1.524 1.524)) (justify left bottom)) + (uuid a5c8e189-1ddc-4a66-984b-e0fd1529d346) + ) + (label "D-" (at 209.55 86.995 0) + (effects (font (size 1.524 1.524)) (justify left bottom)) + (uuid e70b6168-f98e-4322-bc55-500948ef7b77) + ) + (label "PD-" (at 43.815 46.355 0) + (effects (font (size 1.524 1.524)) (justify left bottom)) + (uuid fc4ad874-c922-4070-89f9-7262080469d8) + ) + + (global_label "QSPI_CS" (shape input) (at 138.43 95.885 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 0721ae74-e750-4401-8d63-122584c77e6e) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 92.71 13.335 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "SCL" (shape input) (at 46.99 231.775 180) (fields_autoplaced) + (effects (font (size 1.524 1.524)) (justify right)) + (uuid 0a1a4d88-972a-46ce-b25e-6cb796bd41f7) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at -138.43 194.945 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "PROG" (shape input) (at 241.935 48.26 180) (fields_autoplaced) + (effects (font (size 1.524 1.524)) (justify right)) + (uuid 0ff86902-6825-4abb-9547-bc6d91e76900) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 313.055 -86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "SWC" (shape input) (at 256.54 179.705 180) (fields_autoplaced) + (effects (font (size 1.524 1.524)) (justify right)) + (uuid 1f320a5a-66b7-4b05-8790-dfb51c3fb586) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 269.24 76.835 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "SDA" (shape bidirectional) (at 215.9 94.615 0) (fields_autoplaced) + (effects (font (size 1.524 1.524)) (justify left)) + (uuid 2e842263-c0ba-46fd-a760-6624d4c78278) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 222.9706 94.5198 0) + (effects (font (size 1.524 1.524)) (justify left) hide) + ) + ) + (global_label "SCL" (shape input) (at 279.4 218.44 180) (fields_autoplaced) + (effects (font (size 1.524 1.524)) (justify right)) + (uuid 309b3bff-19c8-41ec-a84d-63399c649f46) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 81.28 157.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "SWD" (shape input) (at 256.54 182.245 180) (fields_autoplaced) + (effects (font (size 1.524 1.524)) (justify right)) + (uuid 315804e1-ad3a-49f8-ac13-fec339b948a3) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 269.24 76.835 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "LED_DATA" (shape input) (at 97.155 227.33 180) (fields_autoplaced) + (effects (font (size 1.524 1.524)) (justify right)) + (uuid 367269e5-e5d3-45dd-b786-31ed3b0a9c0e) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 83.7707 227.2348 0) + (effects (font (size 1.524 1.524)) (justify right) hide) + ) + ) + (global_label "QSPI_SD3" (shape bidirectional) (at 138.43 107.315 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 3b397a9f-37c1-4570-aefb-b1db45d8a87a) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 92.71 13.335 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "QSPI_SD1" (shape bidirectional) (at 295.275 52.07 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 3c4eab1f-aad2-44bc-a801-8b16843f526a) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 313.055 -86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "SCL" (shape output) (at 215.9 97.155 0) (fields_autoplaced) + (effects (font (size 1.524 1.524)) (justify left)) + (uuid 4632212f-13ce-4392-bc68-ccb9ba333770) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 222.898 97.0598 0) + (effects (font (size 1.524 1.524)) (justify left) hide) + ) + ) + (global_label "MT" (shape input) (at 209.55 135.255 0) (fields_autoplaced) + (effects (font (size 1.524 1.524)) (justify left)) + (uuid 4a21e717-d46d-4d9e-8b98-af4ecb02d3ec) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 44.45 55.245 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "QSPI_SD3" (shape bidirectional) (at 295.275 59.69 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 4bcef0c3-fe74-4a79-b53f-5529860d69ca) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 313.055 -86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "QSPI_SD2" (shape bidirectional) (at 138.43 104.775 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 524cd81e-7f54-4a06-9930-4329c5669e0a) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 92.71 13.335 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "QSPI_SCK" (shape input) (at 138.43 111.125 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 5a96e5a1-61df-42af-b331-25857b58b0bb) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 92.71 13.335 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "RESET" (shape input) (at 140.97 147.955 180) (fields_autoplaced) + (effects (font (size 1.524 1.524)) (justify right)) + (uuid 5fb2952f-5dbb-4d68-8ef6-7cb4d09bfd4b) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 92.71 13.335 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "MT" (shape input) (at 279.4 213.36 180) (fields_autoplaced) + (effects (font (size 1.524 1.524)) (justify right)) + (uuid 70e15522-1572-4451-9c0d-6d36ac70d8c6) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 81.28 157.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "QSPI_CS" (shape input) (at 258.445 52.07 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 89a257ca-ac3f-4118-93b8-9810d1363bd3) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 313.055 -86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "QSPI_SD1" (shape bidirectional) (at 138.43 102.235 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 8bc0c4c3-f930-4b80-a711-d64a5e3d5cd4) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 92.71 13.335 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "QSPI_SD0" (shape bidirectional) (at 138.43 99.695 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 924dd93e-f5dd-4dc3-a649-c08a09b90b8a) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 92.71 13.335 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "QSPI_SD0" (shape bidirectional) (at 295.275 49.53 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid a2433216-b45a-40a6-bfcc-efbce5d81246) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 313.055 -86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "SWC" (shape input) (at 138.43 159.385 180) (fields_autoplaced) + (effects (font (size 1.524 1.524)) (justify right)) + (uuid ace5ec9a-c57d-4058-b2c3-ef3b4892eea2) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 92.71 13.335 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "SDA" (shape input) (at 279.4 215.9 180) (fields_autoplaced) + (effects (font (size 1.524 1.524)) (justify right)) + (uuid be645d0f-8568-47a0-a152-e3ddd33563eb) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 81.28 157.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "SDA" (shape input) (at 46.99 234.315 180) (fields_autoplaced) + (effects (font (size 1.524 1.524)) (justify right)) + (uuid cb6062da-8dcd-4826-92fd-4071e9e97213) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at -138.43 194.945 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "LED_DATA" (shape output) (at 209.55 155.575 0) (fields_autoplaced) + (effects (font (size 1.524 1.524)) (justify left)) + (uuid d7ff8750-e7cc-40fe-9fea-2cfb0f999860) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 222.9343 155.4798 0) + (effects (font (size 1.524 1.524)) (justify left) hide) + ) + ) + (global_label "RESET" (shape input) (at 41.91 208.915 180) (fields_autoplaced) + (effects (font (size 1.524 1.524)) (justify right)) + (uuid e3807980-a1cd-416c-873b-dd543df8b2fd) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 92.71 151.765 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "QSPI_SD2" (shape bidirectional) (at 295.275 57.15 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid e5f02ac3-2d84-4efb-8d56-c4f11be6d739) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 313.055 -86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "PROG" (shape input) (at 44.45 253.365 180) (fields_autoplaced) + (effects (font (size 1.524 1.524)) (justify right)) + (uuid e9c66e6e-d0f1-4631-ba09-9279a13a5ae0) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 95.25 174.625 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "QSPI_SCK" (shape input) (at 258.445 57.15 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid eaa56195-7384-4dea-90c3-8e3c494f7eaf) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 313.055 -86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "SWD" (shape input) (at 138.43 161.925 180) (fields_autoplaced) + (effects (font (size 1.524 1.524)) (justify right)) + (uuid f89b1101-c117-456f-9da2-5d43ec9522ce) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 92.71 13.335 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + + (symbol (lib_id "power:GND") (at 269.24 210.82 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005b5dcf8b) + (property "Reference" "#PWR03" (id 0) (at 262.89 210.82 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 264.8458 210.947 0)) + (property "Footprint" "" (id 2) (at 269.24 210.82 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 269.24 210.82 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8fc26687-2a80-4623-a274-b870d0121a8d)) + ) + + (symbol (lib_id "power:GND") (at 297.18 167.005 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005b5f150b) + (property "Reference" "#PWR0101" (id 0) (at 297.18 173.355 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 297.307 171.3992 0)) + (property "Footprint" "" (id 2) (at 297.18 167.005 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 297.18 167.005 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 47f9bd50-a0ed-49db-94fa-652b5541b992)) + ) + + (symbol (lib_id "Connector:Conn_01x04_Male") (at 33.655 46.355 0) (mirror x) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005b5f1753) + (property "Reference" "J1" (id 0) (at 27.305 46.355 0)) + (property "Value" "Conn_USB" (id 1) (at 27.305 43.815 0)) + (property "Footprint" "Connector_JST:JST_PH_B4B-PH-K_1x04_P2.00mm_Vertical" (id 2) (at 33.655 46.355 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 33.655 46.355 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "JST" (id 4) (at 33.655 46.355 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "B4B-PH-K-S(LF)(SN)" (id 5) (at 33.655 46.355 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C131334" (id 6) (at 33.655 46.355 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c2084e80-7105-4b3f-8361-ce886bc5d872)) + (pin "2" (uuid 9a188f99-fcd4-4cb5-833f-980f2e868f71)) + (pin "3" (uuid a91c344c-b76d-479a-95ac-db602c96f4cf)) + (pin "4" (uuid 914c5b3a-21b5-462d-8f55-ca0b3cc81d2e)) + ) + + (symbol (lib_id "Mechanical:MountingHole_Pad") (at 40.64 138.43 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005b5f3da7) + (property "Reference" "MK5" (id 0) (at 43.18 137.2616 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Mounting_Hole" (id 1) (at 43.18 139.573 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "MountingHole:MountingHole_2.2mm_M2_Pad" (id 2) (at 40.64 138.43 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 40.64 138.43 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "DNP" (id 4) (at 40.64 138.43 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "DNP" (id 5) (at 40.64 138.43 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 12571566-c362-4020-afc1-5afc9948a4d0)) + ) + + (symbol (lib_id "Mechanical:MountingHole_Pad") (at 40.64 144.78 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005b5f3dad) + (property "Reference" "MK6" (id 0) (at 43.18 143.6116 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Mounting_Hole" (id 1) (at 43.18 145.923 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "MountingHole:MountingHole_2.2mm_M2_Pad" (id 2) (at 40.64 144.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 40.64 144.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "DNP" (id 4) (at 40.64 144.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "DNP" (id 5) (at 40.64 144.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1f62391d-edad-497c-b927-6f2bee6a0668)) + ) + + (symbol (lib_id "Mechanical:MountingHole_Pad") (at 40.64 151.13 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005b5f3db3) + (property "Reference" "MK7" (id 0) (at 43.18 149.9616 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Mounting_Hole" (id 1) (at 43.18 152.273 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "MountingHole:MountingHole_2.2mm_M2_Pad" (id 2) (at 40.64 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 40.64 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "DNP" (id 4) (at 40.64 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "DNP" (id 5) (at 40.64 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0952f70b-9a46-4df4-b908-9da98d2239e2)) + ) + + (symbol (lib_id "Mechanical:MountingHole_Pad") (at 40.64 157.48 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005b5f3db9) + (property "Reference" "MK8" (id 0) (at 43.18 156.3116 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Mounting_Hole" (id 1) (at 43.18 158.623 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "MountingHole:MountingHole_2.2mm_M2_Pad" (id 2) (at 40.64 157.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 40.64 157.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "DNP" (id 4) (at 40.64 157.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "DNP" (id 5) (at 40.64 157.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 02df1ad4-e836-47c4-b28a-32bfc9124877)) + ) + + (symbol (lib_id "Mechanical:MountingHole_Pad") (at 64.77 138.43 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005b5f4257) + (property "Reference" "MK9" (id 0) (at 67.31 137.2616 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Mounting_Hole" (id 1) (at 67.31 139.573 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "MountingHole:MountingHole_2.2mm_M2_Pad" (id 2) (at 64.77 138.43 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 64.77 138.43 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "DNP" (id 4) (at 64.77 138.43 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "DNP" (id 5) (at 64.77 138.43 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e25678c3-7a6f-406f-b60f-2c8f0cc0a45b)) + ) + + (symbol (lib_id "Mechanical:MountingHole_Pad") (at 64.77 144.78 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005b5f425d) + (property "Reference" "MK10" (id 0) (at 67.31 143.6116 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Mounting_Hole" (id 1) (at 67.31 145.923 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "MountingHole:MountingHole_2.2mm_M2_Pad" (id 2) (at 64.77 144.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 64.77 144.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "DNP" (id 4) (at 64.77 144.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "DNP" (id 5) (at 64.77 144.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 63a86c0b-142c-4744-8de1-6e3cde1583de)) + ) + + (symbol (lib_id "Mechanical:MountingHole_Pad") (at 64.77 151.13 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005b5f4263) + (property "Reference" "MK11" (id 0) (at 67.31 149.9616 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Mounting_Hole" (id 1) (at 67.31 152.273 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "MountingHole:MountingHole_2.2mm_M2_Pad" (id 2) (at 64.77 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 64.77 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "DNP" (id 4) (at 64.77 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "DNP" (id 5) (at 64.77 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e1a2236e-9438-4cf8-9628-4a2edf553390)) + ) + + (symbol (lib_id "Mechanical:MountingHole_Pad") (at 64.77 157.48 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005b5f4269) + (property "Reference" "MK12" (id 0) (at 67.31 156.3116 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Mounting_Hole" (id 1) (at 67.31 158.623 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "MountingHole:MountingHole_2.2mm_M2_Pad" (id 2) (at 64.77 157.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 64.77 157.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "DNP" (id 4) (at 64.77 157.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "DNP" (id 5) (at 64.77 157.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 687376ad-5699-4b83-a0f3-7beeae7f0dfc)) + ) + + (symbol (lib_id "Device:R_Small") (at 252.095 40.64 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005b5f9132) + (property "Reference" "R2" (id 0) (at 253.5936 39.4716 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10k" (id 1) (at 253.5936 41.783 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 252.095 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 252.095 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Yageo" (id 4) (at 252.095 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "RC0603JR-0710KL" (id 5) (at 252.095 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C99198" (id 6) (at 252.095 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e302535d-6503-4dfd-b65e-6c8293a3f335)) + (pin "2" (uuid 496facad-c51d-4258-aa3e-af4dd0955a5f)) + ) + + (symbol (lib_id "Mechanical:MountingHole") (at 45.72 166.37 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005b61922f) + (property "Reference" "MK13" (id 0) (at 48.26 165.2016 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "LOGO" (id 1) (at 48.26 167.513 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "reform2-motherboard:mntreform" (id 2) (at 45.72 166.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 45.72 166.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "DNP" (id 4) (at 45.72 166.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "DNP" (id 5) (at 45.72 166.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + + (symbol (lib_id "Connector:Conn_01x06_Female") (at 284.48 215.9 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005d3375cd) + (property "Reference" "J2" (id 0) (at 287.02 215.9 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "Conn_01x06_Female" (id 1) (at 287.02 205.74 90) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + (property "Footprint" "Connector_FFC-FPC:Hirose_FH12-6S-0.5SH_1x06-1MP_P0.50mm_Horizontal" (id 2) (at 284.48 215.9 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 284.48 215.9 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Hirose" (id 4) (at 284.48 215.9 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "FH12-6S-0.5SH(55)" (id 5) (at 284.48 215.9 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C202118" (id 6) (at 284.48 215.9 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 29b46b10-4680-4031-8ebf-b247e083a871)) + (pin "2" (uuid bfadb7d7-c745-4ec8-852a-2d5bc4a10414)) + (pin "3" (uuid ff2cca04-7dcf-44e6-b570-048a1f9b5bb5)) + (pin "4" (uuid d7f4784a-38a5-47f1-bce1-82f15295dd02)) + (pin "5" (uuid b6245759-5959-413b-bba6-48e382636e72)) + (pin "6" (uuid bea3d93c-d179-4fe1-829f-51f109827be1)) + ) + + (symbol (lib_id "power:+3V3") (at 269.24 223.52 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005d33dec6) + (property "Reference" "#PWR0104" (id 0) (at 273.05 223.52 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 264.8458 223.139 0)) + (property "Footprint" "" (id 2) (at 269.24 223.52 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 269.24 223.52 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 16753c81-1a3b-47e2-8a5b-29fa84e3a60a)) + ) + + (symbol (lib_id "reform2-trackball2-rescue:Choc-reform-trackball") (at 297.18 146.685 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005d4fc0bb) + (property "Reference" "SW5" (id 0) (at 302.2092 143.6116 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Choc" (id 1) (at 302.2092 145.923 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "keyswitches:PG1350" (id 2) (at 297.18 146.685 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 297.18 146.685 0)) + (property "Manufacturer" "Kailh" (id 4) (at 297.18 146.685 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "CPG135001D03" (id 5) (at 297.18 146.685 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C400231" (id 6) (at 297.18 146.685 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 979cb235-e50e-410a-b85f-545dcd71f86a)) + (pin "2" (uuid 32198aee-b3a1-43b6-86b6-d59a912d0744)) + ) + + (symbol (lib_id "reform2-trackball2-rescue:Choc-reform-trackball") (at 283.21 149.225 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005d4fc3b4) + (property "Reference" "SW4" (id 0) (at 288.2392 146.1516 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Choc" (id 1) (at 288.2392 148.463 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "keyswitches:PG1350" (id 2) (at 283.21 149.225 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 283.21 149.225 0)) + (property "Manufacturer" "Kailh" (id 4) (at 283.21 149.225 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "CPG135001D03" (id 5) (at 283.21 149.225 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C400231" (id 6) (at 283.21 149.225 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5df6cb4a-3143-4fde-9e5e-43900021c7b8)) + (pin "2" (uuid 1a8ebf2d-7e4b-42ba-8c6a-2fd162e248cb)) + ) + + (symbol (lib_id "reform2-trackball2-rescue:Choc-reform-trackball") (at 269.24 151.765 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005d4fe1b3) + (property "Reference" "SW3" (id 0) (at 274.2692 148.6916 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Choc" (id 1) (at 274.2692 151.003 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "keyswitches:PG1350" (id 2) (at 269.24 151.765 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 269.24 151.765 0)) + (property "Manufacturer" "Kailh" (id 4) (at 269.24 151.765 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "CPG135001D03" (id 5) (at 269.24 151.765 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C400231" (id 6) (at 269.24 151.765 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid eeb6fbe0-69be-4fa7-9f53-525b0af0254d)) + (pin "2" (uuid 35e39d7c-d7c3-447d-88bb-405145a7f669)) + ) + + (symbol (lib_id "reform2-trackball2-rescue:Choc-reform-trackball") (at 241.3 156.845 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005d4fe717) + (property "Reference" "SW2" (id 0) (at 246.3292 153.7716 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Choc" (id 1) (at 246.3292 156.083 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "keyswitches:PG1350" (id 2) (at 241.3 156.845 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 241.3 156.845 0)) + (property "Manufacturer" "Kailh" (id 4) (at 241.3 156.845 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "CPG135001D03" (id 5) (at 241.3 156.845 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C400231" (id 6) (at 241.3 156.845 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6f15beb2-0106-4fbf-8adb-ccdb5a75c0e0)) + (pin "2" (uuid 4bece68a-1596-4915-9b75-74c59a81fbd6)) + ) + + (symbol (lib_id "reform2-trackball2-rescue:Choc-reform-trackball") (at 255.27 154.305 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005d501af4) + (property "Reference" "SW1" (id 0) (at 260.2992 151.2316 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Choc" (id 1) (at 260.2992 153.543 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "keyswitches:PG1350" (id 2) (at 255.27 154.305 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 255.27 154.305 0)) + (property "Manufacturer" "Kailh" (id 4) (at 255.27 154.305 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "CPG135001D03" (id 5) (at 255.27 154.305 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C400231" (id 6) (at 255.27 154.305 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid cc830e9f-e8c6-4eb8-897d-fbe572bc2b6c)) + (pin "2" (uuid 8d882fe8-01ed-4240-b8ad-ea839e58b2d6)) + ) + + (symbol (lib_id "power:+3V3") (at 252.095 35.56 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005d9983b6) + (property "Reference" "#PWR0105" (id 0) (at 252.095 39.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 252.476 31.1658 0)) + (property "Footprint" "" (id 2) (at 252.095 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 252.095 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid eb0627ea-4705-4e2d-904f-1e06af050957)) + ) + + (symbol (lib_id "power:+5V") (at 89.535 37.465 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005d99fb8d) + (property "Reference" "#PWR0107" (id 0) (at 89.535 41.275 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (id 1) (at 89.916 33.0708 0)) + (property "Footprint" "" (id 2) (at 89.535 37.465 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 89.535 37.465 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bee792b8-9203-4aad-8f99-b15b8c4b4bf6)) + ) + + (symbol (lib_id "power:PWR_FLAG") (at 84.455 37.465 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005d9a219d) + (property "Reference" "#FLG0101" (id 0) (at 84.455 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "PWR_FLAG" (id 1) (at 84.455 34.2392 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 84.455 37.465 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 84.455 37.465 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1cd75ba7-afa9-45d1-91be-0b8ee2eaa1d9)) + ) + + (symbol (lib_id "Switch:SW_DIP_x01") (at 59.69 260.985 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005d9e806b) + (property "Reference" "SW7" (id 0) (at 62.992 259.8166 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "SW_HWB" (id 1) (at 62.992 262.128 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Button_Switch_SMD:SW_DIP_SPSTx01_Slide_Omron_A6S-110x_W8.9mm_P2.54mm" (id 2) (at 59.69 260.985 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 59.69 260.985 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Dongguan Guangzhu" (id 4) (at 59.69 260.985 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "DSIC01LSGET" (id 5) (at 59.69 260.985 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C402914" (id 6) (at 59.69 260.985 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e205c85d-545e-4caf-a814-f7d0e94d19fe)) + (pin "2" (uuid 7ba21785-b853-4c68-8aac-d6661bcf4b12)) + ) + + (symbol (lib_id "Switch:SW_Push") (at 58.42 208.915 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005da71827) + (property "Reference" "SW6" (id 0) (at 58.42 201.676 0)) + (property "Value" "SW_RST" (id 1) (at 58.42 203.9874 0)) + (property "Footprint" "footprints-trackball:Diptronics-PTLP2" (id 2) (at 58.42 203.835 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 58.42 203.835 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "XKB" (id 4) (at 58.42 208.915 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "TS-1185EC-C-D-B" (id 5) (at 58.42 208.915 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C318893" (id 6) (at 58.42 208.915 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid cf8be8e8-fba6-419a-9f21-3be1ca8645c2)) + (pin "2" (uuid 2f677aa4-d5a3-4a37-97a5-385066770256)) + ) + + (symbol (lib_id "reform2-trackball2-rescue:TLV75533PDBV-Regulator_Linear-reform-trackball-rescue") (at 273.05 104.14 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005daeea1f) + (property "Reference" "U2" (id 0) (at 273.05 95.4532 0)) + (property "Value" "TLV75533PDBV" (id 1) (at 273.05 97.7646 0)) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" (id 2) (at 273.05 96.52 0) + (effects (font (size 1.27 1.27) italic) hide) + ) + (property "Datasheet" "http://www.ti.com/lit/ds/symlink/tlv755p.pdf" (id 3) (at 273.05 104.14 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Texas Instruments" (id 4) (at 273.05 104.14 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "TLV75533PDBVR" (id 5) (at 273.05 104.14 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C404027" (id 6) (at 273.05 104.14 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid eff17511-db80-4bf2-a5df-08ce5539f064)) + (pin "2" (uuid 85f108a3-c093-4b2f-96b6-48f3a532e42d)) + (pin "3" (uuid b3a9743e-0986-4452-a05e-5d2e63b68298)) + (pin "4" (uuid a4846049-f592-4cc8-a6ee-d1d1f746da0a)) + (pin "5" (uuid a58daa40-507a-419c-b0b1-c7945fc38402)) + ) + + (symbol (lib_id "power:+3V3") (at 287.02 95.25 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005daf04a4) + (property "Reference" "#PWR09" (id 0) (at 287.02 99.06 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 287.401 90.8558 0)) + (property "Footprint" "" (id 2) (at 287.02 95.25 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 287.02 95.25 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9be2511d-ade2-4226-92b7-3aaaf18f4666)) + ) + + (symbol (lib_id "power:+5V") (at 254 95.25 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005daf41c6) + (property "Reference" "#PWR01" (id 0) (at 254 99.06 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (id 1) (at 254.381 90.8558 0)) + (property "Footprint" "" (id 2) (at 254 95.25 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 254 95.25 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d74c630f-c1e7-4c8d-b495-fb621c5cbdec)) + ) + + (symbol (lib_id "Device:C_Small") (at 259.08 109.22 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005dafba4f) + (property "Reference" "C5" (id 0) (at 261.4168 108.0516 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "4.7uF" (id 1) (at 261.4168 110.363 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 259.08 109.22 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 259.08 109.22 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Murata" (id 4) (at 259.08 109.22 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "GRM188R61E475KE11D" (id 5) (at 259.08 109.22 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C90057" (id 6) (at 259.08 109.22 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e9bcb93b-c826-4e57-9556-d0b274eabb4d)) + (pin "2" (uuid 6f5820b5-edec-4f34-8c29-6d97fb86e53d)) + ) + + (symbol (lib_id "power:GND") (at 259.08 115.57 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005db02afe) + (property "Reference" "#PWR02" (id 0) (at 259.08 121.92 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 260.35 119.38 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 259.08 115.57 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 259.08 115.57 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid cc888f43-ca19-462c-adfd-2e20cb8dfede)) + ) + + (symbol (lib_id "power:GND") (at 35.56 162.56 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005db1eb81) + (property "Reference" "#PWR0110" (id 0) (at 35.56 168.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 35.687 166.9542 0)) + (property "Footprint" "" (id 2) (at 35.56 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 35.56 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5a6be6a3-f5c4-4588-90de-f3e15e2ac316)) + ) + + (symbol (lib_id "Device:R_Small") (at 220.98 84.455 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005db926fb) + (property "Reference" "R8" (id 0) (at 217.17 81.915 90)) + (property "Value" "27.4" (id 1) (at 220.98 81.915 90)) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 220.98 84.455 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 220.98 84.455 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Yageo" (id 4) (at 220.98 84.455 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "RC0603FR-0727R4L" (id 5) (at 220.98 84.455 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C185346" (id 6) (at 220.98 84.455 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e0907bf5-2902-4663-9649-b9258e80cfa1)) + (pin "2" (uuid 3f86eac7-8e7c-4082-8e32-8a0bcadd7874)) + ) + + (symbol (lib_id "Device:R_Small") (at 220.98 86.995 90) (mirror x) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005db9dabc) + (property "Reference" "R9" (id 0) (at 217.17 89.535 90)) + (property "Value" "27.4" (id 1) (at 220.98 89.535 90)) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 220.98 86.995 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 220.98 86.995 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Yageo" (id 4) (at 220.98 86.995 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "RC0603FR-0727R4L" (id 5) (at 220.98 86.995 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C185346" (id 6) (at 220.98 86.995 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1ae5f305-82c0-4608-bb44-6f54108bdca6)) + (pin "2" (uuid ead84776-ed6d-4174-bd4e-7ec24866ecbd)) + ) + + (symbol (lib_id "Device:R_Small") (at 50.8 231.775 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005dbf5969) + (property "Reference" "R10" (id 0) (at 50.8 229.235 90)) + (property "Value" "4.7k" (id 1) (at 50.8 231.775 90)) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 50.8 231.775 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 50.8 231.775 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Yageo" (id 4) (at 50.8 231.775 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "RC0603FR-074K7L" (id 5) (at 50.8 231.775 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C105428" (id 6) (at 50.8 231.775 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 38868197-ef96-4af1-9b8d-b9122742e090)) + (pin "2" (uuid 38e4c682-b8e9-4a67-86a3-41a486258505)) + ) + + (symbol (lib_id "Device:R_Small") (at 57.15 234.315 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005dbf5e5d) + (property "Reference" "R11" (id 0) (at 57.15 236.855 90)) + (property "Value" "4.7k" (id 1) (at 57.15 234.315 90)) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 57.15 234.315 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 57.15 234.315 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Yageo" (id 4) (at 57.15 234.315 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "RC0603FR-074K7L" (id 5) (at 57.15 234.315 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C105428" (id 6) (at 57.15 234.315 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9a621e43-d56d-430c-9f37-f1ecf1a02a85)) + (pin "2" (uuid c61301da-1ef8-499e-9a32-cbb3c6153f2c)) + ) + + (symbol (lib_id "power:+3V3") (at 64.77 230.505 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005dbffeef) + (property "Reference" "#PWR011" (id 0) (at 64.77 234.315 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 65.151 226.1108 0)) + (property "Footprint" "" (id 2) (at 64.77 230.505 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 64.77 230.505 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e44ebcdd-421a-4d0e-a6a9-b5a8694a3c1c)) + ) + + (symbol (lib_id "Power_Protection:USBLC6-2SC6") (at 58.42 95.25 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005f65961e) + (property "Reference" "U3" (id 0) (at 52.07 85.09 0)) + (property "Value" "USBLC6-2SC6" (id 1) (at 67.31 85.09 0)) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-6" (id 2) (at 58.42 107.95 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.st.com/resource/en/datasheet/usblc6-2.pdf" (id 3) (at 63.5 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "USBLC6-2SC6" (id 4) (at 58.42 95.25 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "STMicroelectronics" (id 5) (at 58.42 95.25 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C7519" (id 6) (at 58.42 95.25 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 62629d86-7812-48e5-a4ee-cba4cce675c7)) + (pin "2" (uuid 20383790-76be-4aaa-94de-c17756682b7b)) + (pin "3" (uuid 7ce2bf31-9258-4de9-bb68-9dc6bb16b718)) + (pin "4" (uuid bad21ca4-e111-4760-8d01-3fcfa3d4e59b)) + (pin "5" (uuid d475b65c-8aff-4afb-97e1-c54e2ac8b8df)) + (pin "6" (uuid c0f508b1-bb7f-459a-8ab7-eb7d375e6239)) + ) + + (symbol (lib_id "power:GND") (at 40.005 51.435 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005f67f545) + (property "Reference" "#PWR012" (id 0) (at 40.005 57.785 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 40.132 55.8292 0)) + (property "Footprint" "" (id 2) (at 40.005 51.435 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 40.005 51.435 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bdea12a2-2bc1-4239-be1f-49df65c20ec0)) + ) + + (symbol (lib_id "reform2-trackball2-rescue:Ferrite_Bead_Small-Device") (at 69.215 37.465 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005f6ad2b1) + (property "Reference" "FB1" (id 0) (at 69.215 31.4452 90)) + (property "Value" "BLM18PG221SH1D" (id 1) (at 69.215 33.7566 90)) + (property "Footprint" "Inductor_SMD:L_0603_1608Metric" (id 2) (at 69.215 39.243 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 69.215 37.465 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "BLM18PG221SH1D" (id 4) (at 69.215 37.465 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Murata" (id 5) (at 69.215 37.465 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C14709" (id 6) (at 69.215 37.465 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ebe481cd-0b72-4be5-881d-17c207ec2037)) + (pin "2" (uuid 52af4d52-1c10-4108-ac48-c962fc638454)) + ) + + (symbol (lib_id "Mechanical:MountingHole") (at 59.69 166.37 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005f6bbd83) + (property "Reference" "MK1" (id 0) (at 62.23 165.2016 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "BADGE" (id 1) (at 62.23 167.513 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "footprints-trackball:mntreform-trackball-badge" (id 2) (at 59.69 166.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 59.69 166.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "DNP" (id 4) (at 59.69 166.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "DNP" (id 5) (at 59.69 166.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + + (symbol (lib_id "Diode:BZT52Bxx") (at 76.835 45.085 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005f70c861) + (property "Reference" "D6" (id 0) (at 78.867 43.9166 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "BZT52-B5V6J" (id 1) (at 78.867 46.228 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Diode_SMD:D_SOD-123" (id 2) (at 72.39 45.085 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://diotec.com/tl_files/diotec/files/pdf/datasheets/bzt52b2v4.pdf" (id 3) (at 76.835 45.085 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "BZT52-B5V6J" (id 4) (at 76.835 45.085 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Nexperia" (id 5) (at 76.835 45.085 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C173406" (id 6) (at 76.835 45.085 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 11445971-2583-4989-aa60-3e2b824bc46a)) + (pin "2" (uuid 93ba369a-49a8-4d19-be6c-4b13378d5a59)) + ) + + (symbol (lib_id "power:+5V") (at 58.42 82.55 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005f70d21f) + (property "Reference" "#PWR013" (id 0) (at 58.42 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (id 1) (at 58.801 78.1558 0)) + (property "Footprint" "" (id 2) (at 58.42 82.55 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 58.42 82.55 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1de85031-9810-4511-a8e5-8fc28f5bb9bb)) + ) + + (symbol (lib_id "power:GND") (at 76.835 51.435 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005f71b562) + (property "Reference" "#PWR014" (id 0) (at 76.835 57.785 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 76.962 55.8292 0)) + (property "Footprint" "" (id 2) (at 76.835 51.435 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 76.835 51.435 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid fe7a5a10-5c4d-469c-9a98-6ec80008f4da)) + ) + + (symbol (lib_id "Device:Polyfuse") (at 56.515 37.465 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005f7426c5) + (property "Reference" "F1" (id 0) (at 56.515 33.655 90)) + (property "Value" "0ZCJ0075AF2E" (id 1) (at 56.515 41.275 90)) + (property "Footprint" "Fuse:Fuse_1206_3216Metric_Castellated" (id 2) (at 51.435 38.735 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Datasheet" "~" (id 3) (at 56.515 37.465 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "nSMD100-16V" (id 4) (at 56.515 37.465 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "TECHFUSE" (id 5) (at 56.515 37.465 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C70082" (id 6) (at 56.515 37.465 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 500a323d-22a9-445d-94a4-e107a0e1ae23)) + (pin "2" (uuid add8f578-f85e-442e-acd9-d94db2a34358)) + ) + + (symbol (lib_id "power:GND") (at 58.42 107.95 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005f7a17d6) + (property "Reference" "#PWR0103" (id 0) (at 58.42 114.3 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 58.547 112.3442 0)) + (property "Footprint" "" (id 2) (at 58.42 107.95 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 58.42 107.95 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 81b704e3-ad79-4155-bf9f-926a6d6c3a72)) + ) + + (symbol (lib_id "pocket-reform-keyboard:SK6805-EC15") (at 188.595 246.38 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 0b943514-fbab-41a6-9c2c-370c2a61f2cf) + (property "Reference" "D4" (id 0) (at 196.215 242.0493 0)) + (property "Value" "SK6805-EC15" (id 1) (at 196.85 253.4793 0)) + (property "Footprint" "footprints:SK6805-EC15" (id 2) (at 189.865 254 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) + ) + (property "Datasheet" "https://www.exp-tech.de/media/pdf/SK6805-EC15-REV-05-EN.pdf" (id 3) (at 191.135 255.905 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) + ) + (property "Manufacturer_No" "SK6805-EC15" (id 4) (at 188.595 246.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C2890035" (id 5) (at 188.595 246.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "OPSCO" (id 6) (at 188.595 246.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a246fa10-b537-4ccd-932a-55ea00080f7f)) + (pin "2" (uuid cd4dd450-b39b-43fd-889a-ffc277b7aa97)) + (pin "3" (uuid c93677d9-4a2b-4ac3-b8fd-c668c2367d9e)) + (pin "4" (uuid e2cc38a2-e67c-4d49-8a29-a73283a4847a)) + ) + + (symbol (lib_id "pocket-reform-keyboard:SK6805-EC15") (at 161.925 246.38 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 1065dec1-c49e-42f9-b565-0315086059f5) + (property "Reference" "D5" (id 0) (at 169.545 242.0493 0)) + (property "Value" "SK6805-EC15" (id 1) (at 170.18 253.4793 0)) + (property "Footprint" "footprints:SK6805-EC15" (id 2) (at 163.195 254 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) + ) + (property "Datasheet" "https://www.exp-tech.de/media/pdf/SK6805-EC15-REV-05-EN.pdf" (id 3) (at 164.465 255.905 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) + ) + (property "Manufacturer_No" "SK6805-EC15" (id 4) (at 161.925 246.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C2890035" (id 5) (at 161.925 246.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "OPSCO" (id 6) (at 161.925 246.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid cc234774-b50d-4e6f-8af3-50002476cc4c)) + (pin "2" (uuid 1bb01775-e8f0-4990-9bfa-fd656ebe0438)) + (pin "3" (uuid 9fd98d30-c74c-4cbb-820b-511b576b696f)) + (pin "4" (uuid 453a8f99-12cc-4c60-aaa5-c27aad6a87ad)) + ) + + (symbol (lib_id "Device:Crystal_GND24") (at 114.3 135.255 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 1482851c-2e17-4260-a1eb-f2555c4a7bdd) + (property "Reference" "Y1" (id 0) (at 117.475 130.175 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "12MHz 18pF" (id 1) (at 117.475 132.08 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Crystal:Crystal_SMD_3225-4Pin_3.2x2.5mm" (id 2) (at 114.3 135.255 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 114.3 135.255 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Seiko Epson" (id 4) (at 114.3 135.255 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "Q22FA23V0041800" (id 5) (at 114.3 135.255 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C91749" (id 6) (at 114.3 135.255 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f9bb7c0d-144c-4477-8c1e-c4f03702b4f0)) + (pin "2" (uuid 1ef3510d-b6f3-404a-aeb6-d68acdbffe02)) + (pin "3" (uuid 295ccbca-ec52-4627-8aa8-4f65fecc5ccc)) + (pin "4" (uuid 4f137560-fd15-43b1-8f79-c87161dbfb06)) + ) + + (symbol (lib_id "power:+1V1") (at 153.67 66.675 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 1deacc7d-7b12-4bbc-b33d-93d0cc71a92b) + (property "Reference" "#PWR015" (id 0) (at 153.67 70.485 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+1V1" (id 1) (at 153.67 61.595 0)) + (property "Footprint" "" (id 2) (at 153.67 66.675 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 153.67 66.675 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 52e097a5-2eb9-4273-b476-241ff9141097)) + ) + + (symbol (lib_id "Device:C_Small") (at 121.92 146.685 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 2103fd92-d81e-4e4d-b56b-1717abd49cd7) + (property "Reference" "C6" (id 0) (at 122.174 144.907 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "27pF" (id 1) (at 122.174 148.717 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 121.92 146.685 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 121.92 146.685 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Yageo" (id 4) (at 121.92 146.685 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "CC0603JRNPO9BN270" (id 5) (at 121.92 146.685 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C107045" (id 6) (at 121.92 146.685 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1037ed3c-5b40-4019-b719-640765a488e6)) + (pin "2" (uuid 9d34b8ce-e36a-4b4d-b6a3-6e675584844a)) + ) + + (symbol (lib_id "Device:C_Small") (at 147.32 50.165 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) + (uuid 2656f361-52f0-41d3-8feb-a4ed2419d3ef) + (property "Reference" "C15" (id 0) (at 147.066 48.387 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "0.1uF" (id 1) (at 149.86 43.815 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 147.32 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 147.32 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Yageo" (id 4) (at 147.32 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "CC0603JPX7R9BB104" (id 5) (at 147.32 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C14663" (id 6) (at 147.32 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6c4fef0e-7225-42d4-86e6-19e852b45efe)) + (pin "2" (uuid af3b0dd0-1ea7-4cb8-a889-f525d012e3db)) + ) + + (symbol (lib_id "Device:C_Small") (at 140.97 50.165 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) + (uuid 3750409c-2678-433c-9ae5-7ecd62833862) + (property "Reference" "C13" (id 0) (at 140.716 48.387 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "0.1uF" (id 1) (at 143.51 43.815 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 140.97 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 140.97 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Yageo" (id 4) (at 140.97 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "CC0603JPX7R9BB104" (id 5) (at 140.97 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C14663" (id 6) (at 140.97 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c8c5c6d4-b1f0-42fc-bd7f-72a98f469383)) + (pin "2" (uuid 35db411b-46db-4599-9376-b643afeca0f5)) + ) + + (symbol (lib_id "Device:C_Small") (at 203.835 50.165 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 398b06c5-f050-4b73-90d1-a7e375deae52) + (property "Reference" "C22" (id 0) (at 204.089 48.387 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "0.1uF" (id 1) (at 204.089 52.197 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 203.835 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 203.835 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Yageo" (id 4) (at 203.835 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "CC0603JPX7R9BB104" (id 5) (at 203.835 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C14663" (id 6) (at 203.835 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 175829b3-f600-48b0-b997-735618efbd41)) + (pin "2" (uuid 0c7ac370-af5f-426c-b6c3-189201335b3b)) + ) + + (symbol (lib_id "Device:C_Small") (at 146.685 218.44 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) + (uuid 3d7d1673-7e78-4f69-9793-f7c6d65ade7f) + (property "Reference" "C2" (id 0) (at 146.431 216.662 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "0.1uF" (id 1) (at 149.225 212.09 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 146.685 218.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 146.685 218.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Yageo" (id 4) (at 146.685 218.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "CC0603JPX7R9BB104" (id 5) (at 146.685 218.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C14663" (id 6) (at 146.685 218.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1b6c1d2c-8d49-409a-bd9f-839102296376)) + (pin "2" (uuid 626951a0-fd6c-408a-928d-fee691084055)) + ) + + (symbol (lib_id "power:GND") (at 135.255 265.43 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 3ff1a1c1-adf2-48c8-bcca-6c7714bc5523) + (property "Reference" "#PWR05" (id 0) (at 135.255 271.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 135.255 270.51 0)) + (property "Footprint" "" (id 2) (at 135.255 265.43 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 135.255 265.43 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1c4ec14a-7e35-4ff7-9111-03416ba1aaaa)) + ) + + (symbol (lib_id "Device:C_Small") (at 154.305 218.44 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) + (uuid 40bd1416-adad-4c66-991f-c0c2405d2665) + (property "Reference" "C7" (id 0) (at 154.051 216.662 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "0.1uF" (id 1) (at 156.845 212.09 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 154.305 218.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 154.305 218.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Yageo" (id 4) (at 154.305 218.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "CC0603JPX7R9BB104" (id 5) (at 154.305 218.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C14663" (id 6) (at 154.305 218.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 138e8b3a-0fae-4e3c-a9a8-7f73f7330676)) + (pin "2" (uuid 6a061a1b-7d53-411d-9d0d-8a43d237d7d9)) + ) + + (symbol (lib_id "power:GND") (at 59.69 268.605 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 41289e75-d2ce-428e-80f2-f7f93ee61b47) + (property "Reference" "#PWR017" (id 0) (at 59.69 274.955 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 60.96 272.415 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 59.69 268.605 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 59.69 268.605 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid df42a643-891a-4c2c-b024-066b1f81090d)) + ) + + (symbol (lib_id "pocket-reform-keyboard:SK6805-EC15") (at 135.255 246.38 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 42e165b3-52d2-456f-909e-f2b72396af8c) + (property "Reference" "D2" (id 0) (at 142.875 242.0493 0)) + (property "Value" "SK6805-EC15" (id 1) (at 143.51 253.4793 0)) + (property "Footprint" "footprints:SK6805-EC15" (id 2) (at 136.525 254 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) + ) + (property "Datasheet" "https://www.exp-tech.de/media/pdf/SK6805-EC15-REV-05-EN.pdf" (id 3) (at 137.795 255.905 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) + ) + (property "Manufacturer_No" "SK6805-EC15" (id 4) (at 135.255 246.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C2890035" (id 5) (at 135.255 246.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "OPSCO" (id 6) (at 135.255 246.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 7be56b95-7808-48b3-8802-eb56b9b04a0e)) + (pin "2" (uuid c5bcef30-2aee-4cbe-b35b-826c81f93af9)) + (pin "3" (uuid 27cf3bd6-9dc7-4e5d-9db0-2d8c50149938)) + (pin "4" (uuid ca6fa84c-1968-4d37-9bf4-fdf29639327c)) + ) + + (symbol (lib_id "power:+3V3") (at 46.99 200.025 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 4569a187-abbd-4ab6-ae71-545322c7b1a6) + (property "Reference" "#PWR0102" (id 0) (at 46.99 203.835 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 47.371 195.6308 0)) + (property "Footprint" "" (id 2) (at 46.99 200.025 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 46.99 200.025 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c5a987ba-62a3-43b7-8b4b-f8c9d7f42229)) + ) + + (symbol (lib_id "Device:C_Small") (at 129.54 74.295 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) + (uuid 46c94123-03f2-41ba-afd0-267e139ce522) + (property "Reference" "C9" (id 0) (at 129.286 72.517 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "0.1uF" (id 1) (at 132.08 67.945 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 129.54 74.295 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 129.54 74.295 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Yageo" (id 4) (at 129.54 74.295 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "CC0603JPX7R9BB104" (id 5) (at 129.54 74.295 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C14663" (id 6) (at 129.54 74.295 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9264fccb-2a3e-4cf0-b462-9d23c3a70e48)) + (pin "2" (uuid 2af19b4a-a231-481c-ae0f-79d20078329b)) + ) + + (symbol (lib_id "Device:C_Small") (at 121.92 50.165 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) + (uuid 48498ce7-eca9-44e0-bc6d-7ca5752498ba) + (property "Reference" "C3" (id 0) (at 121.666 48.387 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "0.1uF" (id 1) (at 124.46 43.815 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 121.92 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 121.92 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Yageo" (id 4) (at 121.92 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "CC0603JPX7R9BB104" (id 5) (at 121.92 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C14663" (id 6) (at 121.92 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a3d08a16-c66e-4446-aae4-ed2f6e6af88f)) + (pin "2" (uuid 0457cfcb-acd5-4792-a095-e8d5d1e8cefa)) + ) + + (symbol (lib_id "power:GND") (at 161.29 183.515 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 4d903d63-8266-42a8-94d2-c54725f8a1df) + (property "Reference" "#PWR010" (id 0) (at 161.29 189.865 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 161.29 188.595 0)) + (property "Footprint" "" (id 2) (at 161.29 183.515 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 161.29 183.515 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 985e1799-0ebb-4ec4-8214-9fafb24a79c3)) + ) + + (symbol (lib_id "Connector:TestPoint") (at 264.16 184.785 180) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 4e439924-41c8-4ce8-a6e4-804b81fab8c8) + (property "Reference" "TP1" (id 0) (at 266.7 186.8169 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "TestPoint" (id 1) (at 266.7 189.3569 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "TestPoint:TestPoint_Pad_D1.0mm" (id 2) (at 259.08 184.785 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 259.08 184.785 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "DNP" (id 4) (at 264.16 184.785 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "DNP" (id 5) (at 264.16 184.785 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6488044d-d677-4586-8289-9682989b3313)) + ) + + (symbol (lib_id "power:GND") (at 114.3 154.305 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 4e8d902a-55e6-47c5-a1cd-35a843bdea56) + (property "Reference" "#PWR06" (id 0) (at 114.3 160.655 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 114.3 159.385 0)) + (property "Footprint" "" (id 2) (at 114.3 154.305 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 114.3 154.305 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ac48affc-bd62-4dc2-a7a1-e003417d8b6d)) + ) + + (symbol (lib_id "Device:C_Small") (at 177.165 218.44 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) + (uuid 53fba2c0-bfea-4826-b8bc-18c0bcf5e5bb) + (property "Reference" "C16" (id 0) (at 176.911 216.662 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "0.1uF" (id 1) (at 179.705 212.09 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 177.165 218.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 177.165 218.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Yageo" (id 4) (at 177.165 218.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "CC0603JPX7R9BB104" (id 5) (at 177.165 218.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C14663" (id 6) (at 177.165 218.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6be0e1df-03f1-4751-9d7e-7573c9b096c4)) + (pin "2" (uuid 2c0ca1e7-fded-4e80-8b6f-59489ac08b19)) + ) + + (symbol (lib_id "power:+5V") (at 135.255 209.55 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 55b9960d-163e-4217-827d-4868502a76f7) + (property "Reference" "#PWR04" (id 0) (at 135.255 213.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (id 1) (at 135.636 205.1558 0)) + (property "Footprint" "" (id 2) (at 135.255 209.55 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 135.255 209.55 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5aa60f23-f478-490e-890f-cbe35363706a)) + ) + + (symbol (lib_id "Device:C_Small") (at 105.41 146.685 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 5ebe8737-eb04-4191-83d4-3fa788095f44) + (property "Reference" "C1" (id 0) (at 105.664 144.907 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "27pF" (id 1) (at 105.664 148.717 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 105.41 146.685 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 105.41 146.685 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Yageo" (id 4) (at 105.41 146.685 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "CC0603JRNPO9BN270" (id 5) (at 105.41 146.685 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C107045" (id 6) (at 105.41 146.685 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid aaf6c1fd-2495-4da5-8fba-51128b6257dd)) + (pin "2" (uuid 5d0a1142-2a49-4258-b191-bd3483b00a21)) + ) + + (symbol (lib_id "Device:C_Small") (at 121.92 74.295 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 5f97827f-c36d-47fb-b3b4-3d9bc5c240ba) + (property "Reference" "C4" (id 0) (at 122.174 72.517 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "0.1uF" (id 1) (at 120.65 67.945 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 121.92 74.295 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 121.92 74.295 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Yageo" (id 4) (at 121.92 74.295 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "CC0603JPX7R9BB104" (id 5) (at 121.92 74.295 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C14663" (id 6) (at 121.92 74.295 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 7d935433-23a4-4a5b-b4b9-283e3ee03f82)) + (pin "2" (uuid 6b27399d-223b-41fb-9007-53e3051952f2)) + ) + + (symbol (lib_id "Device:C_Small") (at 137.16 74.295 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) + (uuid 62508c41-b74c-4acc-a452-325a48e3b56f) + (property "Reference" "C12" (id 0) (at 136.906 72.517 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "0.1uF" (id 1) (at 139.7 67.945 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 137.16 74.295 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 137.16 74.295 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Yageo" (id 4) (at 137.16 74.295 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "CC0603JPX7R9BB104" (id 5) (at 137.16 74.295 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C14663" (id 6) (at 137.16 74.295 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a194d9b3-5a2f-4524-8a1e-a7a7bfb770a9)) + (pin "2" (uuid 7404ece6-4b33-46ca-819c-8564e1327da8)) + ) + + (symbol (lib_id "Device:R_Small") (at 46.99 203.835 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 692786e8-5be7-4910-b578-952a763ff38c) + (property "Reference" "R3" (id 0) (at 47.752 203.327 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10k" (id 1) (at 47.752 204.851 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 46.99 203.835 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 46.99 203.835 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Yageo" (id 4) (at 46.99 203.835 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "RC0603JR-0710KL" (id 5) (at 46.99 203.835 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C99198" (id 6) (at 46.99 203.835 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 192b6ca7-f830-4650-9f73-4d3b2f10adb5)) + (pin "2" (uuid fdb157e6-9fa9-4dd5-92b7-0da8d39e7785)) + ) + + (symbol (lib_id "power:GND") (at 268.605 39.37 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 7083f176-02b2-4d81-865d-78431374d791) + (property "Reference" "#PWR026" (id 0) (at 268.605 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "~" (id 1) (at 268.605 43.18 0)) + (property "Footprint" "" (id 2) (at 268.605 39.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 268.605 39.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5feb2451-77d3-4820-831c-d6ff26f7ca05)) + ) + + (symbol (lib_id "Device:R_Small") (at 133.35 135.255 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid 76b8cba5-5723-41c5-9612-4acdc9ba8626) + (property "Reference" "R1" (id 0) (at 133.35 132.715 90)) + (property "Value" "1k" (id 1) (at 133.35 135.255 90)) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 133.35 135.255 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 133.35 135.255 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Yageo" (id 4) (at 133.35 135.255 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "" (id 5) (at 133.35 135.255 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "" (id 6) (at 133.35 135.255 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 32786a6c-e1f9-41b0-98ee-0277f1dab1fc)) + (pin "2" (uuid 289f1994-a9b7-49de-9830-4a87c41a7a39)) + ) + + (symbol (lib_id "MCU_RaspberryPi_and_Boards:RP2040") (at 173.99 127.635 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 7a50bf9f-4e50-4a08-9fc0-74d671af1d04) + (property "Reference" "U4" (id 0) (at 187.96 179.705 0)) + (property "Value" "RP2040" (id 1) (at 187.96 182.245 0)) + (property "Footprint" "MCU_RaspberryPi_and_Boards:RP2040-QFN-56" (id 2) (at 154.94 127.635 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 154.94 127.635 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Raspberry Pi" (id 4) (at 173.99 127.635 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "RP2040" (id 5) (at 173.99 127.635 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C2040" (id 6) (at 173.99 127.635 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 291b2ce1-5c2d-456d-aaa4-daca47ba1b15)) + (pin "10" (uuid 3a8bb0b4-7558-444c-926f-99213f4d89ad)) + (pin "11" (uuid e086d883-26cf-4bc8-b058-2ea10c48924b)) + (pin "12" (uuid b7c8deeb-3c01-407a-8dcc-64cba3080893)) + (pin "13" (uuid 2f133a30-fa60-42c3-8981-9db461b7b6ed)) + (pin "14" (uuid 0bf9e5dc-096e-486d-bb3c-07388aa86989)) + (pin "15" (uuid 569598c3-32a7-4688-a7e9-0e06cc9ad057)) + (pin "16" (uuid a34e206a-ee82-4044-9b08-9a4adea85228)) + (pin "17" (uuid 8d557b78-8787-4484-9981-eecb48befaca)) + (pin "18" (uuid 52f2367d-8f92-45b7-a8b5-b50a226ccbfb)) + (pin "19" (uuid 2e0fc85f-7169-44a2-a5a4-e8ae56e14fe1)) + (pin "2" (uuid bb145c3f-99e9-4e38-a9d2-466198daf686)) + (pin "20" (uuid eeda3e8d-d957-4303-86da-e54dfe0cb57e)) + (pin "21" (uuid cb8fc1b5-77a3-40d1-93a1-d5d752e01de2)) + (pin "22" (uuid a1a1973f-e17a-4b58-81e9-a6de27cb66b4)) + (pin "23" (uuid 916d3e86-45b5-4d65-aaf2-6ae1646a768b)) + (pin "24" (uuid d64df30e-02a4-42d3-aec9-8d0d84ab8dd5)) + (pin "25" (uuid a8fccc2f-0d8f-4cf2-b5db-51bf2a3f7e09)) + (pin "26" (uuid a6dcf3c6-4117-478a-943a-edb8288bfacb)) + (pin "27" (uuid 60a758e0-28e1-487f-93df-ce3ff95fe942)) + (pin "28" (uuid fa7551fc-0ea6-4a4f-bb16-fa2140012055)) + (pin "29" (uuid ba160363-2744-4c46-8380-875fda12b8cc)) + (pin "3" (uuid 99b51399-7f87-492f-9df8-fb27bf11d206)) + (pin "30" (uuid e3078de1-c07a-450a-8073-668e3d7a98d0)) + (pin "31" (uuid 77ee67a0-8688-468a-be24-331ea112a411)) + (pin "32" (uuid b644eb14-63e0-4636-96c3-ce31febbf831)) + (pin "33" (uuid c7d9bf66-3915-4103-bcf2-7e640b4c8d99)) + (pin "34" (uuid c337b559-a970-4502-8b2f-93c58456ad91)) + (pin "35" (uuid 676219d9-00c4-4268-b73a-98de65d8894b)) + (pin "36" (uuid 61718eae-b0f3-4a84-a129-4413f3458d0b)) + (pin "37" (uuid a4c5c756-5c56-44ed-8cdc-4543d4cb82ab)) + (pin "38" (uuid 3b931b2a-b612-451e-9b44-802d0bfa2632)) + (pin "39" (uuid f0f7c07f-cbaa-4aa3-92ff-9c91b67dd825)) + (pin "4" (uuid dab1549b-8c8c-4bc9-9890-e3bac2520198)) + (pin "40" (uuid ea466442-d4bb-48d0-84c0-677285170a72)) + (pin "41" (uuid 903767a8-656a-4f22-968e-0ab127444c11)) + (pin "42" (uuid c8921ef1-3dd2-494b-86a4-594e7e7c31ab)) + (pin "43" (uuid 9a6af9c9-04d3-40fc-9c57-a56de2da78ce)) + (pin "44" (uuid 2c85c9e9-91dc-4f2c-85f6-25c2fef092b1)) + (pin "45" (uuid c2be0629-9a60-40e6-9c82-f8a72b504580)) + (pin "46" (uuid 4bcd2a7f-9893-4fa7-9919-b3690ff3abcc)) + (pin "47" (uuid b628d39d-4b67-4d61-97a6-7873587cdef8)) + (pin "48" (uuid d3fbb7a6-8d48-430e-b89c-a35aeb26c159)) + (pin "49" (uuid f2316ec1-b2e1-4de2-aef3-fd0f2707c621)) + (pin "5" (uuid ecb976c3-72a1-4d6d-a075-fe928bab22ac)) + (pin "50" (uuid 6e297aa3-fade-4f0a-8dbe-c2f7e08d2d81)) + (pin "51" (uuid bd29d1a4-fb10-42e3-bc19-cc8a939e3565)) + (pin "52" (uuid bf1a4a09-06d3-4588-afb4-f48d75d3151f)) + (pin "53" (uuid 16538d87-47a6-4341-97ff-a0374c75fdde)) + (pin "54" (uuid f168c00c-37ba-4bdc-8b13-83b725b0aae1)) + (pin "55" (uuid 0fda6811-13f0-4544-9a17-136ee37e7b75)) + (pin "56" (uuid 2916eb25-face-4c4e-88a3-548926cfa375)) + (pin "57" (uuid 9c3a6374-3e14-40ed-b44c-539871dcbc7c)) + (pin "6" (uuid ae766e4a-c026-4ead-966d-c78b91b90c4e)) + (pin "7" (uuid df87ea0a-1afe-4e5c-84b8-11cb683e292c)) + (pin "8" (uuid fcd9a8b2-93f2-475e-9f3a-ebf8d8c1b6cf)) + (pin "9" (uuid a7c2aa13-460d-40f6-a719-6e1cc73b3b34)) + ) + + (symbol (lib_id "power:GND") (at 68.58 210.185 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 7c5f7333-dd87-4ad2-bbc9-92c19d4e0241) + (property "Reference" "#PWR023" (id 0) (at 68.58 216.535 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 69.85 213.995 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 68.58 210.185 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 68.58 210.185 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8f812f92-ea11-4b07-b22c-2a7220357e36)) + ) + + (symbol (lib_id "power:GND") (at 160.02 56.515 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 801a5f32-de54-4178-bba7-4d2bb985dfcc) + (property "Reference" "#PWR016" (id 0) (at 160.02 62.865 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "~" (id 1) (at 160.02 60.325 0)) + (property "Footprint" "" (id 2) (at 160.02 56.515 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 160.02 56.515 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 041f63e1-79d1-4fb0-93ff-0edc5fbd5e80)) + ) + + (symbol (lib_id "Device:C_Small") (at 184.785 218.44 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) + (uuid 843310ac-ca2c-4ccb-8408-3807c69d046c) + (property "Reference" "C18" (id 0) (at 184.531 216.662 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "0.1uF" (id 1) (at 187.325 212.09 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 184.785 218.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 184.785 218.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Yageo" (id 4) (at 184.785 218.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "CC0603JPX7R9BB104" (id 5) (at 184.785 218.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C14663" (id 6) (at 184.785 218.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid da65892e-d331-4b58-b238-fc5e3ae49daf)) + (pin "2" (uuid 82351776-902f-4479-828f-a0663a3b9b4d)) + ) + + (symbol (lib_id "Device:C_Small") (at 175.26 50.165 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 89e22c71-ad8a-407f-a241-4c472e4fec3e) + (property "Reference" "C20" (id 0) (at 175.514 48.387 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "1uF" (id 1) (at 173.99 43.815 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 175.26 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 175.26 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Yageo" (id 4) (at 175.26 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "CC0603KRX5R8BB105" (id 5) (at 175.26 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C14664" (id 6) (at 175.26 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 972e2ab9-75c5-4f46-b080-9f2b81622d5e)) + (pin "2" (uuid 2b13668e-79aa-445e-8430-17fbdafd2c0c)) + ) + + (symbol (lib_id "Device:C_Small") (at 161.925 218.44 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) + (uuid 9276a4ae-59d6-4199-8a30-21c624ecb42e) + (property "Reference" "C11" (id 0) (at 161.671 216.662 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "0.1uF" (id 1) (at 164.465 212.09 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 161.925 218.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 161.925 218.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Yageo" (id 4) (at 161.925 218.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "CC0603JPX7R9BB104" (id 5) (at 161.925 218.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C14663" (id 6) (at 161.925 218.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid fa329174-9bc1-4572-a441-b00bd7e837d6)) + (pin "2" (uuid 9229d0d5-fdfd-4104-b90d-dfac27c878c3)) + ) + + (symbol (lib_id "Device:C_Small") (at 160.02 50.165 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 991ae2a8-b85b-46be-8c7d-6268568e7a71) + (property "Reference" "C19" (id 0) (at 160.274 48.387 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "0.1uF" (id 1) (at 158.75 43.815 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 160.02 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 160.02 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Yageo" (id 4) (at 160.02 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "CC0603JPX7R9BB104" (id 5) (at 160.02 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C14663" (id 6) (at 160.02 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 66f8a1db-963e-4883-8012-1505057e4c49)) + (pin "2" (uuid 33d55226-1662-4015-b238-cc50ac0fbe8a)) + ) + + (symbol (lib_id "Device:C_Small") (at 128.27 50.165 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) + (uuid 9a06a591-be17-4555-b011-665f0d68eb82) + (property "Reference" "C8" (id 0) (at 128.016 48.387 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "0.1uF" (id 1) (at 130.81 43.815 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 128.27 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 128.27 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Yageo" (id 4) (at 128.27 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "CC0603JPX7R9BB104" (id 5) (at 128.27 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C14663" (id 6) (at 128.27 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6532ff24-f5c8-47be-a58b-db5a45312322)) + (pin "2" (uuid d36d59a8-2628-4d63-b96e-fe70613b514c)) + ) + + (symbol (lib_id "Device:C_Small") (at 153.67 50.165 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) + (uuid 9dcddebc-9f66-4734-bdef-4fe8d95462ae) + (property "Reference" "C17" (id 0) (at 153.416 48.387 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "0.1uF" (id 1) (at 156.21 43.815 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 153.67 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 153.67 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Yageo" (id 4) (at 153.67 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "CC0603JPX7R9BB104" (id 5) (at 153.67 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C14663" (id 6) (at 153.67 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0dccfc25-377d-4243-b07c-b0069b2b26c1)) + (pin "2" (uuid a0845fc7-de7b-4800-aa22-66d522661c03)) + ) + + (symbol (lib_id "power:GND") (at 146.685 223.52 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid b13ade7b-7cfb-46ca-86ca-5ae6c2844f3e) + (property "Reference" "#PWR07" (id 0) (at 146.685 229.87 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 146.685 228.6 0)) + (property "Footprint" "" (id 2) (at 146.685 223.52 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 146.685 223.52 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 06bc88bb-d387-4bd4-904f-b117fe58d6d1)) + ) + + (symbol (lib_id "Connector:TestPoint") (at 279.4 184.785 180) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid b714a1d6-d163-4d71-a004-bf62174fc9b2) + (property "Reference" "TP2" (id 0) (at 281.94 186.8169 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "TestPoint" (id 1) (at 281.94 189.3569 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "TestPoint:TestPoint_Pad_D1.0mm" (id 2) (at 274.32 184.785 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 274.32 184.785 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "DNP" (id 4) (at 279.4 184.785 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "DNP" (id 5) (at 279.4 184.785 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 745f4558-01ea-4c12-a18e-e24e856b6fff)) + ) + + (symbol (lib_id "pocket-reform-keyboard:SK6805-EC15") (at 215.265 246.38 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid b7bed221-8071-43ea-ab11-b8ac1bc41b5d) + (property "Reference" "D3" (id 0) (at 222.885 242.0493 0)) + (property "Value" "SK6805-EC15" (id 1) (at 223.52 253.4793 0)) + (property "Footprint" "footprints:SK6805-EC15" (id 2) (at 216.535 254 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) + ) + (property "Datasheet" "https://www.exp-tech.de/media/pdf/SK6805-EC15-REV-05-EN.pdf" (id 3) (at 217.805 255.905 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) + ) + (property "Manufacturer_No" "SK6805-EC15" (id 4) (at 215.265 246.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C2890035" (id 5) (at 215.265 246.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "OPSCO" (id 6) (at 215.265 246.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a07e087e-6a38-4452-a948-5c96d7bb5409)) + (pin "2" (uuid e0298307-6fd9-4416-bfbf-219234dcf8f3)) + (pin "3" (uuid 1364da3a-8a6a-433a-9171-ed2db0525ea0)) + (pin "4" (uuid 9592376a-669b-48f4-b715-3eae5ba760cc)) + ) + + (symbol (lib_id "power:GND") (at 194.945 56.515 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid b9bf5603-02e1-41e9-8413-f846fdee94aa) + (property "Reference" "#PWR022" (id 0) (at 194.945 62.865 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "~" (id 1) (at 194.945 60.325 0)) + (property "Footprint" "" (id 2) (at 194.945 56.515 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 194.945 56.515 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3e15e927-e630-40ef-bf90-544d4c449d4b)) + ) + + (symbol (lib_id "power:GND") (at 278.765 68.58 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid c138fa54-6356-41b9-89a8-88deb6796e4a) + (property "Reference" "#PWR024" (id 0) (at 278.765 74.93 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 278.765 73.66 0)) + (property "Footprint" "" (id 2) (at 278.765 68.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 278.765 68.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 38748885-671a-4a7d-a8d3-a799b2d0e3f3)) + ) + + (symbol (lib_id "power:GND") (at 175.26 56.515 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid c3191879-0281-4946-b3cc-c36dfb31f0f1) + (property "Reference" "#PWR019" (id 0) (at 175.26 62.865 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "~" (id 1) (at 175.26 60.325 0)) + (property "Footprint" "" (id 2) (at 175.26 56.515 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 175.26 56.515 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 4a94a3c9-2e16-46a8-baf0-54b80be76646)) + ) + + (symbol (lib_id "power:+3V3") (at 268.605 25.4 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid c64829b5-eb9b-415f-ac8b-c5a329f509e3) + (property "Reference" "#PWR0108" (id 0) (at 268.605 29.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 268.986 21.0058 0)) + (property "Footprint" "" (id 2) (at 268.605 25.4 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 268.605 25.4 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 41eac3ad-2485-410f-b5e9-7ad6c817907a)) + ) + + (symbol (lib_id "Device:C_Small") (at 287.02 109.22 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid c9b95b47-bc41-47d7-b08b-deb5ba75c7d1) + (property "Reference" "C24" (id 0) (at 289.3568 108.0516 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "4.7uF" (id 1) (at 289.3568 110.363 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 287.02 109.22 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 287.02 109.22 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Murata" (id 4) (at 287.02 109.22 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "GRM188R61E475KE11D" (id 5) (at 287.02 109.22 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C90057" (id 6) (at 287.02 109.22 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b735277d-d626-4240-b25e-8971098e6f50)) + (pin "2" (uuid 3e104499-33bb-4762-8585-7be1f497d9d3)) + ) + + (symbol (lib_id "Memory_Flash:W25Q32JVSS") (at 278.765 54.61 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid cb00bf53-65b3-4c9a-894b-915b5a154ce1) + (property "Reference" "U5" (id 0) (at 281.305 44.9326 0)) + (property "Value" "W25Q32JVSS" (id 1) (at 285.75 64.389 0)) + (property "Footprint" "Package_SO:SOIC-8_5.23x5.23mm_P1.27mm" (id 2) (at 278.765 54.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.winbond.com/resource-files/w25q32jv%20revg%2003272018%20plus.pdf" (id 3) (at 278.765 54.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Winbond" (id 4) (at 278.765 54.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "W25Q32JVSS" (id 5) (at 278.765 54.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C82344" (id 6) (at 278.765 54.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d6742900-ff87-4773-a270-22ae520f3b11)) + (pin "2" (uuid 90b023a5-fa89-4a2b-81ae-e4259b89f49b)) + (pin "3" (uuid 17f42696-fe6b-4f15-9986-94d2164e4d2d)) + (pin "4" (uuid 6dc5b49f-7011-47c7-9f2a-8773f80fc458)) + (pin "5" (uuid 99755346-f73e-4e7e-aba7-63513553aa7f)) + (pin "6" (uuid f9d04b36-5553-4d83-ae32-9901b622fe7a)) + (pin "7" (uuid 621c917b-1a82-4dcb-a5da-e940ddd6ce2d)) + (pin "8" (uuid 5026d5ac-936b-4ec5-ab91-2c1c820a0f48)) + ) + + (symbol (lib_id "Device:C_Small") (at 194.945 50.165 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid ccc2a869-0c2c-4eef-b37f-ac9436f91a3f) + (property "Reference" "C21" (id 0) (at 195.199 48.387 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "0.1uF" (id 1) (at 195.199 52.197 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 194.945 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 194.945 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Yageo" (id 4) (at 194.945 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "CC0603JPX7R9BB104" (id 5) (at 194.945 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C14663" (id 6) (at 194.945 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 00a8f1c0-ae71-4c3d-98f9-3e1ec8078e70)) + (pin "2" (uuid 0fefc27a-b113-4862-9d8b-a04613b8888d)) + ) + + (symbol (lib_id "power:GND") (at 287.02 115.57 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid d41676a3-8f71-430d-b87a-d89eeb7541ca) + (property "Reference" "#PWR0106" (id 0) (at 287.02 121.92 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 288.29 119.38 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 287.02 115.57 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 287.02 115.57 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 63d6b18a-0df3-418a-b87e-bcb860522281)) + ) + + (symbol (lib_id "Device:R_Small") (at 247.015 48.26 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid deeb1caa-0c80-4e42-836b-43556b60296f) + (property "Reference" "R4" (id 0) (at 246.507 46.228 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "4.7k" (id 1) (at 249.301 46.228 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 247.015 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 247.015 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Yageo" (id 4) (at 247.015 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "RC0603FR-074K7L" (id 5) (at 247.015 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C105428" (id 6) (at 247.015 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0f7777df-1155-4584-a1ba-321a34751c96)) + (pin "2" (uuid 456b7a39-2eca-4aee-92e2-d34b364263f8)) + ) + + (symbol (lib_id "Device:C_Small") (at 268.605 34.29 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid dfde2c1f-f7e6-4285-b15a-032861861e78) + (property "Reference" "C23" (id 0) (at 268.859 32.512 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "0.1uF" (id 1) (at 268.859 36.322 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 268.605 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 268.605 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Yageo" (id 4) (at 268.605 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "CC0603JPX7R9BB104" (id 5) (at 268.605 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C14663" (id 6) (at 268.605 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d9bec634-1b16-439c-a985-65cd482f5936)) + (pin "2" (uuid 2e4f1eed-ee3b-4746-be02-bf45ccbc88a8)) + ) + + (symbol (lib_id "pocket-reform-keyboard:SK6805-EC15") (at 243.205 246.38 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid e42b30a4-727b-493a-a1f4-6a28770947ca) + (property "Reference" "D1" (id 0) (at 250.825 242.0493 0)) + (property "Value" "SK6805-EC15" (id 1) (at 251.46 253.4793 0)) + (property "Footprint" "footprints:SK6805-EC15" (id 2) (at 244.475 254 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) + ) + (property "Datasheet" "https://www.exp-tech.de/media/pdf/SK6805-EC15-REV-05-EN.pdf" (id 3) (at 245.745 255.905 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) + ) + (property "Manufacturer_No" "SK6805-EC15" (id 4) (at 243.205 246.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C2890035" (id 5) (at 243.205 246.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "OPSCO" (id 6) (at 243.205 246.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2a5a91e3-a4e2-4b37-ad03-8e9394b9e690)) + (pin "2" (uuid 9a5072c7-429a-47cf-8e42-97e7d724aef0)) + (pin "3" (uuid 37c163b7-a462-4a10-927a-ddff39a7ef6a)) + (pin "4" (uuid 14423f5d-1e22-4c31-98f0-a425d27d82e2)) + ) + + (symbol (lib_id "Device:C_Small") (at 134.62 50.165 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) + (uuid ec02b7d0-6811-44bc-9ed4-17133830a809) + (property "Reference" "C10" (id 0) (at 134.366 48.387 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "0.1uF" (id 1) (at 137.16 43.815 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 134.62 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 134.62 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Yageo" (id 4) (at 134.62 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "CC0603JPX7R9BB104" (id 5) (at 134.62 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C14663" (id 6) (at 134.62 50.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 96388c12-db0c-4cd7-b6ec-29e28111b08d)) + (pin "2" (uuid 789b1eb1-f52e-477a-9707-e92cb513874f)) + ) + + (symbol (lib_id "power:+3V3") (at 170.18 41.275 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid eee4586a-523c-489a-8afd-44e53a4d931f) + (property "Reference" "#PWR018" (id 0) (at 170.18 45.085 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 170.18 36.195 0)) + (property "Footprint" "" (id 2) (at 170.18 41.275 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 170.18 41.275 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c83ecbd9-e6aa-4a02-b9a3-4e6774c5159a)) + ) + + (symbol (lib_id "power:GND") (at 137.16 79.375 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid f0c7f0bb-bb22-43aa-8d6f-f66d73bbe843) + (property "Reference" "#PWR08" (id 0) (at 137.16 85.725 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "~" (id 1) (at 137.16 83.185 0)) + (property "Footprint" "" (id 2) (at 137.16 79.375 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 137.16 79.375 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 39025739-ce4b-47ab-a8ad-dbe5fca98694)) + ) + + (symbol (lib_id "Logic_LevelTranslator:SN74LV1T34DCK") (at 108.585 227.33 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid f9ae426a-9112-47cd-a15d-a62a80ba27b5) + (property "Reference" "U1" (id 0) (at 122.555 222.9993 0)) + (property "Value" "SN74LV1T34DCK" (id 1) (at 122.555 225.5393 0)) + (property "Footprint" "Package_TO_SOT_SMD:SOT-353_SC-70-5" (id 2) (at 128.905 233.68 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/sn74lv1t34.pdf" (id 3) (at 98.425 232.41 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Texas Instruments" (id 4) (at 108.585 227.33 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C78541" (id 5) (at 108.585 227.33 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "SN74LV1T34DCKR" (id 6) (at 108.585 227.33 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 17399467-ef3b-4710-a048-556604703fa7)) + (pin "2" (uuid e889d060-76fb-4284-b995-877ff5bd3022)) + (pin "3" (uuid b02a5ddc-908a-4f57-9499-ab4061fdbbdc)) + (pin "4" (uuid 08bbd4c9-c2d6-4dee-9c14-d21b56cce858)) + (pin "5" (uuid 903c1e0e-ad8e-4a08-8a50-020a143a4fe3)) + ) + + (symbol (lib_id "Device:C_Small") (at 169.545 218.44 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) + (uuid ff62dd34-7d46-4588-bec5-6b9bde883b85) + (property "Reference" "C14" (id 0) (at 169.291 216.662 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "0.1uF" (id 1) (at 172.085 212.09 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 169.545 218.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 169.545 218.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Yageo" (id 4) (at 169.545 218.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer_No" "CC0603JPX7R9BB104" (id 5) (at 169.545 218.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC" "C14663" (id 6) (at 169.545 218.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1a3d2160-7026-479f-a0e8-1ea2449b6c77)) + (pin "2" (uuid 00fdda2c-9650-48f6-a56d-ef4892ff05c5)) + ) + + (sheet_instances + (path "/" (page "1")) + ) + + (symbol_instances + (path "/00000000-0000-0000-0000-00005d9a219d" + (reference "#FLG0101") (unit 1) (value "PWR_FLAG") (footprint "") + ) + (path "/00000000-0000-0000-0000-00005daf41c6" + (reference "#PWR01") (unit 1) (value "+5V") (footprint "") + ) + (path "/00000000-0000-0000-0000-00005db02afe" + (reference "#PWR02") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-00005b5dcf8b" + (reference "#PWR03") (unit 1) (value "GND") (footprint "") + ) + (path "/55b9960d-163e-4217-827d-4868502a76f7" + (reference "#PWR04") (unit 1) (value "+5V") (footprint "") + ) + (path "/3ff1a1c1-adf2-48c8-bcca-6c7714bc5523" + (reference "#PWR05") (unit 1) (value "GND") (footprint "") + ) + (path "/4e8d902a-55e6-47c5-a1cd-35a843bdea56" + (reference "#PWR06") (unit 1) (value "GND") (footprint "") + ) + (path "/b13ade7b-7cfb-46ca-86ca-5ae6c2844f3e" + (reference "#PWR07") (unit 1) (value "GND") (footprint "") + ) + (path "/f0c7f0bb-bb22-43aa-8d6f-f66d73bbe843" + (reference "#PWR08") (unit 1) (value "~") (footprint "") + ) + (path "/00000000-0000-0000-0000-00005daf04a4" + (reference "#PWR09") (unit 1) (value "+3V3") (footprint "") + ) + (path "/4d903d63-8266-42a8-94d2-c54725f8a1df" + (reference "#PWR010") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-00005dbffeef" + (reference "#PWR011") (unit 1) (value "+3V3") (footprint "") + ) + (path "/00000000-0000-0000-0000-00005f67f545" + (reference "#PWR012") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-00005f70d21f" + (reference "#PWR013") (unit 1) (value "+5V") (footprint "") + ) + (path "/00000000-0000-0000-0000-00005f71b562" + (reference "#PWR014") (unit 1) (value "GND") (footprint "") + ) + (path "/1deacc7d-7b12-4bbc-b33d-93d0cc71a92b" + (reference "#PWR015") (unit 1) (value "+1V1") (footprint "") + ) + (path "/801a5f32-de54-4178-bba7-4d2bb985dfcc" + (reference "#PWR016") (unit 1) (value "~") (footprint "") + ) + (path "/41289e75-d2ce-428e-80f2-f7f93ee61b47" + (reference "#PWR017") (unit 1) (value "GND") (footprint "") + ) + (path "/eee4586a-523c-489a-8afd-44e53a4d931f" + (reference "#PWR018") (unit 1) (value "+3V3") (footprint "") + ) + (path "/c3191879-0281-4946-b3cc-c36dfb31f0f1" + (reference "#PWR019") (unit 1) (value "~") (footprint "") + ) + (path "/b9bf5603-02e1-41e9-8413-f846fdee94aa" + (reference "#PWR022") (unit 1) (value "~") (footprint "") + ) + (path "/7c5f7333-dd87-4ad2-bbc9-92c19d4e0241" + (reference "#PWR023") (unit 1) (value "GND") (footprint "") + ) + (path "/c138fa54-6356-41b9-89a8-88deb6796e4a" + (reference "#PWR024") (unit 1) (value "GND") (footprint "") + ) + (path "/7083f176-02b2-4d81-865d-78431374d791" + (reference "#PWR026") (unit 1) (value "~") (footprint "") + ) + (path "/00000000-0000-0000-0000-00005b5f150b" + (reference "#PWR0101") (unit 1) (value "GND") (footprint "") + ) + (path "/4569a187-abbd-4ab6-ae71-545322c7b1a6" + (reference "#PWR0102") (unit 1) (value "+3V3") (footprint "") + ) + (path "/00000000-0000-0000-0000-00005f7a17d6" + (reference "#PWR0103") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-00005d33dec6" + (reference "#PWR0104") (unit 1) (value "+3V3") (footprint "") + ) + (path "/00000000-0000-0000-0000-00005d9983b6" + (reference "#PWR0105") (unit 1) (value "+3V3") (footprint "") + ) + (path "/d41676a3-8f71-430d-b87a-d89eeb7541ca" + (reference "#PWR0106") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-00005d99fb8d" + (reference "#PWR0107") (unit 1) (value "+5V") (footprint "") + ) + (path "/c64829b5-eb9b-415f-ac8b-c5a329f509e3" + (reference "#PWR0108") (unit 1) (value "+3V3") (footprint "") + ) + (path "/00000000-0000-0000-0000-00005db1eb81" + (reference "#PWR0110") (unit 1) (value "GND") (footprint "") + ) + (path "/5ebe8737-eb04-4191-83d4-3fa788095f44" + (reference "C1") (unit 1) (value "27pF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/3d7d1673-7e78-4f69-9793-f7c6d65ade7f" + (reference "C2") (unit 1) (value "0.1uF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/48498ce7-eca9-44e0-bc6d-7ca5752498ba" + (reference "C3") (unit 1) (value "0.1uF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/5f97827f-c36d-47fb-b3b4-3d9bc5c240ba" + (reference "C4") (unit 1) (value "0.1uF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/00000000-0000-0000-0000-00005dafba4f" + (reference "C5") (unit 1) (value "4.7uF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/2103fd92-d81e-4e4d-b56b-1717abd49cd7" + (reference "C6") (unit 1) (value "27pF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/40bd1416-adad-4c66-991f-c0c2405d2665" + (reference "C7") (unit 1) (value "0.1uF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/9a06a591-be17-4555-b011-665f0d68eb82" + (reference "C8") (unit 1) (value "0.1uF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/46c94123-03f2-41ba-afd0-267e139ce522" + (reference "C9") (unit 1) (value "0.1uF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/ec02b7d0-6811-44bc-9ed4-17133830a809" + (reference "C10") (unit 1) (value "0.1uF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/9276a4ae-59d6-4199-8a30-21c624ecb42e" + (reference "C11") (unit 1) (value "0.1uF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/62508c41-b74c-4acc-a452-325a48e3b56f" + (reference "C12") (unit 1) (value "0.1uF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/3750409c-2678-433c-9ae5-7ecd62833862" + (reference "C13") (unit 1) (value "0.1uF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/ff62dd34-7d46-4588-bec5-6b9bde883b85" + (reference "C14") (unit 1) (value "0.1uF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/2656f361-52f0-41d3-8feb-a4ed2419d3ef" + (reference "C15") (unit 1) (value "0.1uF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/53fba2c0-bfea-4826-b8bc-18c0bcf5e5bb" + (reference "C16") (unit 1) (value "0.1uF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/9dcddebc-9f66-4734-bdef-4fe8d95462ae" + (reference "C17") (unit 1) (value "0.1uF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/843310ac-ca2c-4ccb-8408-3807c69d046c" + (reference "C18") (unit 1) (value "0.1uF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/991ae2a8-b85b-46be-8c7d-6268568e7a71" + (reference "C19") (unit 1) (value "0.1uF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/89e22c71-ad8a-407f-a241-4c472e4fec3e" + (reference "C20") (unit 1) (value "1uF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/ccc2a869-0c2c-4eef-b37f-ac9436f91a3f" + (reference "C21") (unit 1) (value "0.1uF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/398b06c5-f050-4b73-90d1-a7e375deae52" + (reference "C22") (unit 1) (value "0.1uF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/dfde2c1f-f7e6-4285-b15a-032861861e78" + (reference "C23") (unit 1) (value "0.1uF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/c9b95b47-bc41-47d7-b08b-deb5ba75c7d1" + (reference "C24") (unit 1) (value "4.7uF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/e42b30a4-727b-493a-a1f4-6a28770947ca" + (reference "D1") (unit 1) (value "SK6805-EC15") (footprint "footprints:SK6805-EC15") + ) + (path "/42e165b3-52d2-456f-909e-f2b72396af8c" + (reference "D2") (unit 1) (value "SK6805-EC15") (footprint "footprints:SK6805-EC15") + ) + (path "/b7bed221-8071-43ea-ab11-b8ac1bc41b5d" + (reference "D3") (unit 1) (value "SK6805-EC15") (footprint "footprints:SK6805-EC15") + ) + (path "/0b943514-fbab-41a6-9c2c-370c2a61f2cf" + (reference "D4") (unit 1) (value "SK6805-EC15") (footprint "footprints:SK6805-EC15") + ) + (path "/1065dec1-c49e-42f9-b565-0315086059f5" + (reference "D5") (unit 1) (value "SK6805-EC15") (footprint "footprints:SK6805-EC15") + ) + (path "/00000000-0000-0000-0000-00005f70c861" + (reference "D6") (unit 1) (value "BZT52-B5V6J") (footprint "Diode_SMD:D_SOD-123") + ) + (path "/00000000-0000-0000-0000-00005f7426c5" + (reference "F1") (unit 1) (value "0ZCJ0075AF2E") (footprint "Fuse:Fuse_1206_3216Metric_Castellated") + ) + (path "/00000000-0000-0000-0000-00005f6ad2b1" + (reference "FB1") (unit 1) (value "BLM18PG221SH1D") (footprint "Inductor_SMD:L_0603_1608Metric") + ) + (path "/00000000-0000-0000-0000-00005b5f1753" + (reference "J1") (unit 1) (value "Conn_USB") (footprint "Connector_JST:JST_PH_B4B-PH-K_1x04_P2.00mm_Vertical") + ) + (path "/00000000-0000-0000-0000-00005d3375cd" + (reference "J2") (unit 1) (value "Conn_01x06_Female") (footprint "Connector_FFC-FPC:Hirose_FH12-6S-0.5SH_1x06-1MP_P0.50mm_Horizontal") + ) + (path "/00000000-0000-0000-0000-00005f6bbd83" + (reference "MK1") (unit 1) (value "BADGE") (footprint "footprints-trackball:mntreform-trackball-badge") + ) + (path "/00000000-0000-0000-0000-00005b5f3da7" + (reference "MK5") (unit 1) (value "Mounting_Hole") (footprint "MountingHole:MountingHole_2.2mm_M2_Pad") + ) + (path "/00000000-0000-0000-0000-00005b5f3dad" + (reference "MK6") (unit 1) (value "Mounting_Hole") (footprint "MountingHole:MountingHole_2.2mm_M2_Pad") + ) + (path "/00000000-0000-0000-0000-00005b5f3db3" + (reference "MK7") (unit 1) (value "Mounting_Hole") (footprint "MountingHole:MountingHole_2.2mm_M2_Pad") + ) + (path "/00000000-0000-0000-0000-00005b5f3db9" + (reference "MK8") (unit 1) (value "Mounting_Hole") (footprint "MountingHole:MountingHole_2.2mm_M2_Pad") + ) + (path "/00000000-0000-0000-0000-00005b5f4257" + (reference "MK9") (unit 1) (value "Mounting_Hole") (footprint "MountingHole:MountingHole_2.2mm_M2_Pad") + ) + (path "/00000000-0000-0000-0000-00005b5f425d" + (reference "MK10") (unit 1) (value "Mounting_Hole") (footprint "MountingHole:MountingHole_2.2mm_M2_Pad") + ) + (path "/00000000-0000-0000-0000-00005b5f4263" + (reference "MK11") (unit 1) (value "Mounting_Hole") (footprint "MountingHole:MountingHole_2.2mm_M2_Pad") + ) + (path "/00000000-0000-0000-0000-00005b5f4269" + (reference "MK12") (unit 1) (value "Mounting_Hole") (footprint "MountingHole:MountingHole_2.2mm_M2_Pad") + ) + (path "/00000000-0000-0000-0000-00005b61922f" + (reference "MK13") (unit 1) (value "LOGO") (footprint "reform2-motherboard:mntreform") + ) + (path "/76b8cba5-5723-41c5-9612-4acdc9ba8626" + (reference "R1") (unit 1) (value "1k") (footprint "Resistor_SMD:R_0603_1608Metric") + ) + (path "/00000000-0000-0000-0000-00005b5f9132" + (reference "R2") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric") + ) + (path "/692786e8-5be7-4910-b578-952a763ff38c" + (reference "R3") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric") + ) + (path "/deeb1caa-0c80-4e42-836b-43556b60296f" + (reference "R4") (unit 1) (value "4.7k") (footprint "Resistor_SMD:R_0603_1608Metric") + ) + (path "/00000000-0000-0000-0000-00005db926fb" + (reference "R8") (unit 1) (value "27.4") (footprint "Resistor_SMD:R_0603_1608Metric") + ) + (path "/00000000-0000-0000-0000-00005db9dabc" + (reference "R9") (unit 1) (value "27.4") (footprint "Resistor_SMD:R_0603_1608Metric") + ) + (path "/00000000-0000-0000-0000-00005dbf5969" + (reference "R10") (unit 1) (value "4.7k") (footprint "Resistor_SMD:R_0603_1608Metric") + ) + (path "/00000000-0000-0000-0000-00005dbf5e5d" + (reference "R11") (unit 1) (value "4.7k") (footprint "Resistor_SMD:R_0603_1608Metric") + ) + (path "/00000000-0000-0000-0000-00005d501af4" + (reference "SW1") (unit 1) (value "Choc") (footprint "keyswitches:PG1350") + ) + (path "/00000000-0000-0000-0000-00005d4fe717" + (reference "SW2") (unit 1) (value "Choc") (footprint "keyswitches:PG1350") + ) + (path "/00000000-0000-0000-0000-00005d4fe1b3" + (reference "SW3") (unit 1) (value "Choc") (footprint "keyswitches:PG1350") + ) + (path "/00000000-0000-0000-0000-00005d4fc3b4" + (reference "SW4") (unit 1) (value "Choc") (footprint "keyswitches:PG1350") + ) + (path "/00000000-0000-0000-0000-00005d4fc0bb" + (reference "SW5") (unit 1) (value "Choc") (footprint "keyswitches:PG1350") + ) + (path "/00000000-0000-0000-0000-00005da71827" + (reference "SW6") (unit 1) (value "SW_RST") (footprint "footprints-trackball:Diptronics-PTLP2") + ) + (path "/00000000-0000-0000-0000-00005d9e806b" + (reference "SW7") (unit 1) (value "SW_HWB") (footprint "Button_Switch_SMD:SW_DIP_SPSTx01_Slide_Omron_A6S-110x_W8.9mm_P2.54mm") + ) + (path "/4e439924-41c8-4ce8-a6e4-804b81fab8c8" + (reference "TP1") (unit 1) (value "TestPoint") (footprint "TestPoint:TestPoint_Pad_D1.0mm") + ) + (path "/b714a1d6-d163-4d71-a004-bf62174fc9b2" + (reference "TP2") (unit 1) (value "TestPoint") (footprint "TestPoint:TestPoint_Pad_D1.0mm") + ) + (path "/f9ae426a-9112-47cd-a15d-a62a80ba27b5" + (reference "U1") (unit 1) (value "SN74LV1T34DCK") (footprint "Package_TO_SOT_SMD:SOT-353_SC-70-5") + ) + (path "/00000000-0000-0000-0000-00005daeea1f" + (reference "U2") (unit 1) (value "TLV75533PDBV") (footprint "Package_TO_SOT_SMD:SOT-23-5") + ) + (path "/00000000-0000-0000-0000-00005f65961e" + (reference "U3") (unit 1) (value "USBLC6-2SC6") (footprint "Package_TO_SOT_SMD:SOT-23-6") + ) + (path "/7a50bf9f-4e50-4a08-9fc0-74d671af1d04" + (reference "U4") (unit 1) (value "RP2040") (footprint "MCU_RaspberryPi_and_Boards:RP2040-QFN-56") + ) + (path "/cb00bf53-65b3-4c9a-894b-915b5a154ce1" + (reference "U5") (unit 1) (value "W25Q32JVSS") (footprint "Package_SO:SOIC-8_5.23x5.23mm_P1.27mm") + ) + (path "/1482851c-2e17-4260-a1eb-f2555c4a7bdd" + (reference "Y1") (unit 1) (value "12MHz 18pF") (footprint "Crystal:Crystal_SMD_3225-4Pin_3.2x2.5mm") + ) + ) +)